VHDL-200X - DASC List for VHDL 200X By Subject
- John Shields (Mon Jun 09 2003 - 14:50:46 PDT)
- Wolfgang Roethig (Mon Jun 09 2003 - 14:31:40 PDT)
- John Shields (Mon Jun 09 2003 - 14:08:17 PDT)
- Paul J. Menchini (Fri Feb 21 2003 - 08:27:35 PST)
- (no subject)
- ** FDL'04 - CALL FOR PAPERS **
- 1076 Officer Elections: call for nominations
- 1076 Working Group PAR and supporting information on scope
- 1076.6-2004 administratively withdrawn
- [Accellera:vhdl] Time to start up?
- [Accellera:vhdl] VHDL Study Group Meeting Notice
- [Accellera:vhdl] VHDL Study Group Meeting Reminder and Details
- [EXTERNAL] [vhdl-200x] Relax locally static restrictions?
- [Fwd: 1076-2008 - Approval Notification]
- [vhdl-200x-dta] Object oriented VHDL
- [vhdl-200x-ft] New types based on FT-14
- [vhdl-200x-ft] Removal of deprecated features
- [vhdl-200x]
- [vhdl-200x] "??"[std_ulogic return boolean] and meta values
- [vhdl-200x] (proposal) Extension of the RANGE syntax to provide MODULAR and
- [vhdl-200x] ** REGISTER NOW! SDS WORKSHOP **
- [vhdl-200x] 1076 & Entity balloting
- Bailey, Stephen (Mon Jun 28 2004 - 11:38:55 PDT)
- Bailey, Stephen (Fri Jun 25 2004 - 15:08:55 PDT)
- John J. Shields (Fri Jun 25 2004 - 14:48:01 PDT)
- Erich Marschner (Fri Jun 25 2004 - 13:11:17 PDT)
- Jim Lewis (Fri Jun 25 2004 - 12:12:25 PDT)
- Bailey, Stephen (Fri Jun 25 2004 - 11:39:02 PDT)
- Jayaram Bhasker (Fri Jun 25 2004 - 11:24:52 PDT)
- Brophy, Dennis (Thu Jun 24 2004 - 21:39:42 PDT)
- Tim Davis (Thu Jun 24 2004 - 09:36:57 PDT)
- Jim Lewis (Thu Jun 24 2004 - 09:21:27 PDT)
- Tim Davis (Thu Jun 24 2004 - 08:48:06 PDT)
- Jim Lewis (Thu Jun 24 2004 - 08:36:41 PDT)
- Bailey, Stephen (Thu Jun 24 2004 - 07:54:48 PDT)
- Bailey, Stephen (Thu Jun 24 2004 - 06:40:22 PDT)
- Evan Lavelle (Thu Jun 24 2004 - 02:50:12 PDT)
- Peter Ashenden (Thu Jun 24 2004 - 00:03:02 PDT)
- Peter Ashenden (Wed Jun 23 2004 - 23:54:49 PDT)
- John J. Shields (Wed Jun 23 2004 - 17:33:46 PDT)
- Bailey, Stephen (Wed Jun 23 2004 - 16:11:05 PDT)
- Bailey, Stephen (Wed Jun 23 2004 - 15:44:34 PDT)
- Michael McNamara (Wed Jun 23 2004 - 15:28:03 PDT)
- Evan Lavelle (Wed Jun 23 2004 - 14:48:23 PDT)
- Robert J Myers (Wed Jun 23 2004 - 14:08:46 PDT)
- Bailey, Stephen (Wed Jun 23 2004 - 13:36:36 PDT)
- Michael McNamara (Wed Jun 23 2004 - 13:27:55 PDT)
- Bailey, Stephen (Wed Jun 23 2004 - 12:40:54 PDT)
- Evan Lavelle (Wed Jun 23 2004 - 09:32:26 PDT)
- Evan Lavelle (Wed Jun 23 2004 - 09:20:26 PDT)
- Bailey, Stephen (Wed Jun 23 2004 - 09:03:37 PDT)
- Michael McNamara (Wed Jun 23 2004 - 08:29:43 PDT)
- Peter Ashenden (Wed Jun 23 2004 - 01:12:57 PDT)
- John J. Shields (Mon Jun 21 2004 - 19:14:24 PDT)
- Michael McNamara (Mon Jun 21 2004 - 17:37:43 PDT)
- Jim Lewis (Mon Jun 21 2004 - 00:38:43 PDT)
- [vhdl-200x] 1076 Officer Election: Jim Lewis is approved as chair
- [vhdl-200x] 1076 Officer Elections: call for nominations
- [vhdl-200x] 1076 Packages Now Redistributable
- [vhdl-200x] 1076 WG P&Ps
- [vhdl-200x] 1076 WG Roster
- [vhdl-200x] 1076 Working Group Par Vote
- [vhdl-200x] 1076 Working Group Par Vote ... PAR supplement document
- [vhdl-200x] 1076.6 (RTL Synthesis) and 1076 standard
- [vhdl-200x] 1076.6-2004 administratively withdrawn
- [vhdl-200x] 15 Apr 04 Meeting Minutes
- [vhdl-200x] 2nd Draft of WG Meeting Presentation for Review
- [vhdl-200x] 3rd Try on Presentation
- [vhdl-200x] [Fwd: 1076-2008 - Approval Notification]
- [vhdl-200x] [Fwd: [Accellera:vhdl] Time to start up?]
- [vhdl-200x] [Fwd: [Accellera:vhdl] Time to start up?]]
- [vhdl-200x] [Fwd: IEEE: response to 2 June 2006 VASG IR ballot]
- [vhdl-200x] [Fwd: ISAC: Proposed agenda for ISAC meeting 22 May 2008 REVISED]
- [vhdl-200x] [Fwd: Multi-dimensional arrays in components usi...
- [vhdl-200x] [Fwd: Multi-dimensional arrays in components using generics
- [vhdl-200x] [Fwd: Multi-dimentional arrays in components using generics]
- [vhdl-200x] [Fwd: P1076 - Conditional Approval Notification]
- [vhdl-200x] [Fwd: P1076c Approval Notification]
- [vhdl-200x] [Fwd: VHDL vector/matrix package?]
- [vhdl-200x] [RFC] Rounding functions proposal
- [vhdl-200x] [vhdl-200x-ft] Cancelled: Monday Nov 22 VHDL-200x FT Review Meeting
- [vhdl-200x] [vhdl-200x-ft] Meeting Reminder: Monday May 16 VHDL-200x FT Review Meeting
- [vhdl-200x] [vhdl-200x-ft] Monday October 4 VHDL-200x FT Review Meeting
- [vhdl-200x] [vhdl-200x-ft] Monday September 27 VHDL-200x FT Review Meeting
- [vhdl-200x] A 2nd proposal to boolean equivalence
- [vhdl-200x] A Challenge
- [vhdl-200x] A compromise about modular type, boolean operations, integers...
- [vhdl-200x] A Request for Information from ECSI
- [vhdl-200x] Accellera VHDL 4.0 hand-off to VASG
- [vhdl-200x] Accellera VHDL TC and IEEE 1076 MOU
- [vhdl-200x] Access types into functions
- [vhdl-200x] Action Item (All): Fill in TWIKI information
- [vhdl-200x] Action Item (All): Officer Elections Soon
- [vhdl-200x] Action Item (All): Questions on Mentor's Verification Survey for Harry Foster
- [vhdl-200x] Action Item (All): Update Collected Requirements
- [vhdl-200x] Action Item (All): Was: Fill in TWIKI information
- [vhdl-200x] Action Item(All): Review of VASG Working Group Operating Procedures
- [vhdl-200x] Action Items Page
- [vhdl-200x] Agenda for Today's Telecon Review
- [vhdl-200x] Alex Zamfirescu's hxml Email Address
- [vhdl-200x] All please read: Out of Bounds Discussions
- [vhdl-200x] An alternative proposal to boolean equivalence
- [vhdl-200x] An Article of Possible Interest
- [vhdl-200x] Analysis of record types for interface bundles
- [vhdl-200x] Announcement
- [vhdl-200x] Announcement - 1076.6 thread
- [vhdl-200x] Announcement - a statement of support
- [vhdl-200x] Approval for Merger of 1164 into 1076
- [vhdl-200x] Approved: Call for Vote: Motion to Amend Motion for 1076 PAR
- [vhdl-200x] Arrays of protected types
- [vhdl-200x] Associative arrays
- [vhdl-200x] At DAC: IP Protection / P1735 Birds-of-a-Feather Meeting
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 01/03/2012)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 01/03/2013)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 01/06/2012)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 01/06/2014)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 01/11/2011)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 03/28/2011)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 04/09/2012)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 05/05/2011)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 05/29/2012)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 06/06/2011)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 07/22/2012)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 08/29/2012)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 09/03/2013)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 11/14/2011)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 11/28/2011)
- [vhdl-200x] AUTO: Quentin Schmierer/Rochester/IBM is out of the office. (returning 12/09/2013)
- [vhdl-200x] AW: Interfaces with normal, conjugated and monitor flavours
- [vhdl-200x] Backwards incompatibility with std_logic_vector
- [vhdl-200x] Birds of a Feather Meeting Reminder
- [vhdl-200x] boolean equivalence
- [vhdl-200x] Boolean Interpretation of Std_ulogic
- [vhdl-200x] Bounce cleanup
- [vhdl-200x] BOUNCE vhdl-200x@eda.org: Non-member submission from [David Bishop <david.bishop@kodak.com>] (fwd)
- [vhdl-200x] BOUNCE vhdl-200x@eda.org: Non-member submission from [Dennis Brophy<dennis_brophy@mentor.com>]
- [vhdl-200x] BOUNCE vhdl-200x@eda.org: Non-member submission from [John Willis <john.willis@ftlsys.com>] (fwd)
- [vhdl-200x] bouncing issues. google groups?
- [vhdl-200x] Bugzilla
- [vhdl-200x] Bugzilla 283
- [vhdl-200x] Bugzilla 288: Bit string literals not correctly defined
- [vhdl-200x] Bugzilla 289: Context declaration requirements are not uniform
- [vhdl-200x] Bugzilla 293
- [vhdl-200x] Bugzilla 83 Marked as Fixed
- [vhdl-200x] Bugzilla 95, XNOR reduction has been closed
- [vhdl-200x] Call for Advisory Vote: Simple Subset of PSL
- [vhdl-200x] Call for discussion of P1076c-2006-D2.4a
- [vhdl-200x] Call for election of P1076 officers
- [vhdl-200x] Call for FPGA and/or ASIC specific instances of float_pkg
- [vhdl-200x] Call For Nominations -- Suspense 25 Nov 05
- [vhdl-200x] Call for nominations for P1076 officer positions
- [vhdl-200x] Call for nominations for P1076.1 VHDL-AMS officers
- [vhdl-200x] Call for nominations for VASG Chair, Vice Chair, and Secretary
- [vhdl-200x] Call for Vote on Additional Issues
- [vhdl-200x] Call for Vote on Group Organization and PAR
- [vhdl-200x] Call for Vote on ISAC Issues
- [vhdl-200x] Call for Vote on ISAC Issues 1000, 2111, 2115, 2116
- [vhdl-200x] Call for Vote on ISAC Issues 1070, 2099, 2119, 2120, 2122
- [vhdl-200x] Call for Vote on ISAC Issues: 060502
- [vhdl-200x] Call for Vote on ISAC Issues: 060602
- [vhdl-200x] Call for vote on VASG Officers
- [vhdl-200x] Call for Vote on VIAL Issues: 070723
- [vhdl-200x] Call for Vote on WG Policies & Procedures
- [vhdl-200x] Call for Vote: Motion to Amend Motion for 1076 P AR
- [vhdl-200x] Call for Vote: Motion to Amend Motion for 1076 PAR
- [vhdl-200x] Call for Vote: Motion to Approve Draft PAR Submi ssion
- [vhdl-200x] Call for Vote: Motion to Approve Draft PAR Submi ssion to DASC Ch air
- [vhdl-200x] Call for Vote: Motion to Approve Draft PAR Submission
- [vhdl-200x] Call for Vote: Motion to Approve Draft PAR Submission to DASC
- [vhdl-200x] Call for Vote: Motion to Approve Draft PAR Submission to DASC Ch air
- [vhdl-200x] Call for Vote: Motion to Approve Draft PAR Submission to DASC Chair
- [vhdl-200x] Call for Vote: VHDL + VHPI (P1076c) - 060908
- [vhdl-200x] Call for Vote: VHDL + VHPI (P1076c-2006-D2.4a)]
- [vhdl-200x] Call to participate: IEEE Property Specification Language (PSL)
- [vhdl-200x] Cancel Meeting Thursday February 5
- [vhdl-200x] Cancelled: Monday Nov 8 VHDL-200x FT Review Meeting
- [vhdl-200x] CFA, Presentation for DATE Meeting and Results of Initial Prioritization Poll
- [vhdl-200x] CFA: Priorities
- [vhdl-200x] CFV MoU: Copy of MoU
- [vhdl-200x] CFV to Approve Accellera VHDL TC & 1076 WG MOU
- [vhdl-200x] CFV to Approve WG P&P
- [vhdl-200x] CFV: Call for Vote on Operating Procedures for P1076 Working Group
- [vhdl-200x] CFV: Proposal to Merge P1604 into P1076
- [vhdl-200x] Change to "report" statements
- [vhdl-200x] Changes..
- [vhdl-200x] clarifications needed
- [vhdl-200x] Clocked Shorthand for Sequencing Testbench Assertions?
- [vhdl-200x] Clocked Shorthand Proposal - Need Consensus
- [vhdl-200x] Closely related record types
- [vhdl-200x] Code sharing
- [vhdl-200x] Code sharing & Licenses
- [vhdl-200x] Code sharing options
- [vhdl-200x] CollectedRequirements template
- [vhdl-200x] Collecting Requirements
- [vhdl-200x] College Professors on list?
- [vhdl-200x] Complex RTL Use Case
- [vhdl-200x] conditional compilation proposal
- [vhdl-200x] conditional compilation response
- [vhdl-200x] Configurations
- [vhdl-200x] Correct Draft 2 Presentation
- [vhdl-200x] Correction
- [vhdl-200x] Correction - nominations closing, not elections
- [vhdl-200x] Correction on first IEEE-1850 PSL Working Group Meeting
- [vhdl-200x] Correction to VHDL voter list
- [vhdl-200x] correction: IEEE Std 1076-2008 pdf almost available
- [vhdl-200x] Corrections to Minutes for VHDL-200X-FT meeting, San Jose De...
- [vhdl-200x] Corrections to Minutes for VHDL-200X-FT meeting, San Jose Dec 4, 2003
- Bailey, Stephen (Sun Dec 21 2003 - 09:04:29 PST)
- Andy D Jones (Fri Dec 19 2003 - 16:30:40 PST)
- Bailey, Stephen (Fri Dec 19 2003 - 15:57:18 PST)
- Hamish Moffatt (Fri Dec 19 2003 - 15:50:33 PST)
- Bailey, Stephen (Fri Dec 19 2003 - 13:14:52 PST)
- Evan Lavelle (Fri Dec 19 2003 - 11:36:47 PST)
- Bailey, Stephen (Fri Dec 19 2003 - 10:59:42 PST)
- Evan Lavelle (Fri Dec 19 2003 - 10:16:04 PST)
- Bailey, Stephen (Fri Dec 19 2003 - 09:51:56 PST)
- Andy D Jones (Fri Dec 19 2003 - 08:54:21 PST)
- Bailey, Stephen (Wed Dec 17 2003 - 15:46:04 PST)
- Andy D Jones (Wed Dec 17 2003 - 13:00:02 PST)
- Jim Lewis (Wed Dec 17 2003 - 10:43:26 PST)
- Rick Munden (Wed Dec 17 2003 - 09:01:22 PST)
- Andy D Jones (Wed Dec 17 2003 - 06:42:53 PST)
- Bailey, Stephen (Tue Dec 16 2003 - 15:35:30 PST)
- Hamish Moffatt (Tue Dec 16 2003 - 14:46:44 PST)
- Jim Lewis (Tue Dec 16 2003 - 13:37:28 PST)
- Bailey, Stephen (Tue Dec 16 2003 - 13:02:45 PST)
- Andy D Jones (Tue Dec 16 2003 - 11:02:27 PST)
- Bailey, Stephen (Tue Dec 16 2003 - 08:39:41 PST)
- Paul Graham (Tue Dec 16 2003 - 08:18:37 PST)
- Andy D Jones (Tue Dec 16 2003 - 07:39:37 PST)
- Munden Rick (Sun Dec 14 2003 - 21:51:50 PST)
- Munden Rick (Wed Dec 10 2003 - 20:21:26 PST)
- Bailey, Stephen (Wed Dec 10 2003 - 15:55:02 PST)
- Hamish Moffatt (Wed Dec 10 2003 - 15:17:30 PST)
- Marcus Harnisch (Wed Dec 10 2003 - 15:08:40 PST)
- Bailey, Stephen (Wed Dec 10 2003 - 13:45:52 PST)
- Mike Treseler (Wed Dec 10 2003 - 10:56:15 PST)
- Jim Lewis (Tue Dec 09 2003 - 17:51:46 PST)
- [vhdl-200x] Corrections to Minutes for VHDL-200X-FT meeting, San Jose Dec 4,2003
- [vhdl-200x] Corrections to Minutes for VHDL-200X-FT meeting, San Jose Dec...
- [vhdl-200x] Corrections to Minutes for VHDL-200X-FT meeting,S an Jose Dec 4, 2003
- [vhdl-200x] Corrections to Minutes for VHDL-200X-FT meeting,San Jose Dec 4, 2003
- [vhdl-200x] Corrections to Minutes for VHDL-200X-FT meeting,San Jose Dec 4,2003
- [vhdl-200x] DAC Birds of a Feather Meeting
- [vhdl-200x] DAC Birds of a Feather Meeting for VHDL-200x
- [vhdl-200x] DAC Meeting
- [vhdl-200x] DAC Meeting Notice
- [vhdl-200x] DASC Clarification
- [vhdl-200x] DASC Membership Requirements
- [vhdl-200x] DeMorgan equiv logic operators
- [vhdl-200x] Details for Fridays Meeting in Wilsonville
- [vhdl-200x] Direct Programming Interface (DPI) ie: Using C from VHDL
- [vhdl-200x] Direct Programming Interface for VHDL 201x Proposal Update
- [vhdl-200x] Directional records proposal
- Daniel Kho (Thu Aug 16 2012 - 19:37:56 PDT)
- Jim Lewis (Thu Aug 16 2012 - 12:49:50 PDT)
- Daniel Kho (Thu Aug 16 2012 - 11:20:47 PDT)
- Mike Treseler (Wed Aug 01 2012 - 16:24:23 PDT)
- Brent Hayhoe (Wed Aug 01 2012 - 14:07:42 PDT)
- ryan.w.hinton@L-3com.com (Mon Jul 23 2012 - 15:12:22 PDT)
- ryan.w.hinton@L-3com.com (Mon Jul 23 2012 - 14:34:22 PDT)
- ryan.w.hinton@L-3com.com (Mon Jul 23 2012 - 14:26:55 PDT)
- Jones, Andy D (Mon Jul 23 2012 - 08:13:09 PDT)
- Brent Hayhoe (Sun Jul 22 2012 - 11:49:01 PDT)
- Daniel Kho (Thu Jul 19 2012 - 21:03:51 PDT)
- ryan.w.hinton@L-3com.com (Thu Jul 19 2012 - 13:26:34 PDT)
- Daniel Kho (Thu Jul 19 2012 - 12:27:34 PDT)
- ryan.w.hinton@L-3com.com (Thu Jul 19 2012 - 11:23:57 PDT)
- Peter Flake (Wed Jul 18 2012 - 07:31:12 PDT)
- Jerry Kaczynski (Tue Jul 17 2012 - 15:46:44 PDT)
- Huffman, Nathanael D (GE Healthcare) (Tue Jul 17 2012 - 15:02:27 PDT)
- Jim Lewis (Tue Jul 17 2012 - 14:56:32 PDT)
- Brent Hayhoe (Tue Jul 17 2012 - 14:49:36 PDT)
- Brent Hayhoe (Tue Jul 17 2012 - 14:41:06 PDT)
- Brent Hayhoe (Tue Jul 17 2012 - 14:37:49 PDT)
- Daniel Kho (Tue Jul 17 2012 - 10:40:38 PDT)
- Jim Lewis (Tue Jul 17 2012 - 10:01:38 PDT)
- Daniel Kho (Tue Jul 17 2012 - 09:23:58 PDT)
- Daniel Kho (Tue Jul 17 2012 - 08:45:18 PDT)
- Daniel Kho (Tue Jul 17 2012 - 06:05:06 PDT)
- Jones, Andy D (Tue Jul 17 2012 - 04:57:53 PDT)
- Daniel Kho (Tue Jul 17 2012 - 02:10:51 PDT)
- Daniel Kho (Tue Jul 17 2012 - 01:36:01 PDT)
- Jones, Andy D (Mon Jul 16 2012 - 05:50:27 PDT)
- Daniel Kho (Mon Jul 16 2012 - 04:59:22 PDT)
- Olof Kindgren (Mon Jul 16 2012 - 04:19:59 PDT)
- Peter Flake (Mon Jul 16 2012 - 03:59:03 PDT)
- Mike Treseler (Sun Jul 15 2012 - 15:41:15 PDT)
- Bailey, Stephen (Sun Jul 15 2012 - 07:13:27 PDT)
- Brent Hayhoe (Sun Jul 15 2012 - 06:39:04 PDT)
- ryan.w.hinton@L-3com.com (Fri Jul 13 2012 - 15:32:18 PDT)
- ryan.w.hinton@L-3com.com (Fri Jul 13 2012 - 14:49:48 PDT)
- Bailey, Stephen (Fri Jul 13 2012 - 14:10:37 PDT)
- ryan.w.hinton@L-3com.com (Fri Jul 13 2012 - 13:57:44 PDT)
- ryan.w.hinton@L-3com.com (Fri Jul 13 2012 - 13:38:52 PDT)
- Daniel Kho (Fri Jul 13 2012 - 13:37:48 PDT)
- Daniel Kho (Fri Jul 13 2012 - 13:20:08 PDT)
- Jennings, Kevin (Fri Jul 13 2012 - 12:53:25 PDT)
- Peter Flake (Fri Jul 13 2012 - 12:46:30 PDT)
- Daniel Kho (Fri Jul 13 2012 - 12:30:59 PDT)
- Bailey, Stephen (Fri Jul 13 2012 - 12:26:18 PDT)
- ryan.w.hinton@L-3com.com (Fri Jul 13 2012 - 12:21:38 PDT)
- Daniel Kho (Fri Jul 13 2012 - 12:13:43 PDT)
- ryan.w.hinton@L-3com.com (Fri Jul 13 2012 - 11:58:29 PDT)
- Peter Flake (Fri Jul 13 2012 - 08:17:18 PDT)
- Bailey, Stephen (Fri Jul 13 2012 - 07:02:38 PDT)
- Paul Colin Gloster (Fri Jul 13 2012 - 07:56:29 PDT)
- Jones, Andy D (Fri Jul 13 2012 - 06:30:28 PDT)
- Jones, Andy D (Fri Jul 13 2012 - 06:05:19 PDT)
- Jakko Verhallen (Fri Jul 13 2012 - 04:56:35 PDT)
- Paul Colin Gloster (Fri Jul 13 2012 - 03:58:17 PDT)
- Daniel Kho (Fri Jul 13 2012 - 02:12:30 PDT)
- Daniel Kho (Fri Jul 13 2012 - 01:55:15 PDT)
- Olof Kindgren (Fri Jul 13 2012 - 00:54:46 PDT)
- Daniel Kho (Thu Jul 12 2012 - 22:26:43 PDT)
- Daniel Kho (Thu Jul 12 2012 - 20:59:54 PDT)
- ryan.w.hinton@L-3com.com (Thu Jul 12 2012 - 19:40:30 PDT)
- Jones, Andy D (Thu Jul 12 2012 - 19:15:16 PDT)
- Daniel Kho (Thu Jul 12 2012 - 18:58:58 PDT)
- ryan.w.hinton@L-3com.com (Thu Jul 12 2012 - 17:26:07 PDT)
- Mike Treseler (Thu Jul 12 2012 - 16:44:33 PDT)
- Dustyn Blasig (Thu Jul 12 2012 - 11:13:12 PDT)
- ryan.w.hinton@L-3com.com (Thu Jul 12 2012 - 10:59:42 PDT)
- Olof Kindgren (Thu Jul 12 2012 - 09:33:36 PDT)
- [vhdl-200x] DPI example
- [vhdl-200x] Draft PAR
- Michael McNamara (Tue Jun 29 2004 - 15:46:18 PDT)
- Bailey, Stephen (Tue Jun 29 2004 - 13:54:03 PDT)
- azro@onebox.com (Tue Jun 29 2004 - 13:44:34 PDT)
- Karl Eisenhofer (Tue Jun 29 2004 - 11:23:38 PDT)
- Peter Ashenden (Mon Jun 28 2004 - 18:43:59 PDT)
- Michael McNamara (Mon Jun 28 2004 - 18:10:41 PDT)
- Peter Ashenden (Mon Jun 28 2004 - 17:07:51 PDT)
- Bailey, Stephen (Mon Jun 28 2004 - 13:43:43 PDT)
- Michael McNamara (Mon Jun 28 2004 - 13:00:08 PDT)
- Bailey, Stephen (Mon Jun 28 2004 - 12:43:12 PDT)
- Jim Lewis (Mon Jun 28 2004 - 12:27:14 PDT)
- Jim Lewis (Mon Jun 28 2004 - 12:03:10 PDT)
- Jim Lewis (Mon Jun 28 2004 - 11:08:23 PDT)
- Brophy, Dennis (Mon Jun 28 2004 - 11:06:00 PDT)
- Bailey, Stephen (Mon Jun 28 2004 - 10:00:01 PDT)
- Francoise Martinolle (Mon Jun 28 2004 - 09:51:07 PDT)
- Bailey, Stephen (Mon Jun 28 2004 - 08:06:01 PDT)
- Francoise Martinolle (Mon Jun 28 2004 - 07:20:36 PDT)
- Bailey, Stephen (Mon Jun 28 2004 - 06:56:56 PDT)
- Bailey, Stephen (Mon Jun 21 2004 - 06:15:45 PDT)
- Bailey, Stephen (Mon Jun 21 2004 - 06:05:35 PDT)
- Peter Ashenden (Sun Jun 20 2004 - 22:02:16 PDT)
- Peter Ashenden (Fri Jun 18 2004 - 05:57:40 PDT)
- Bailey, Stephen (Wed Jun 09 2004 - 23:34:38 PDT)
- [vhdl-200x] Draft PAR & Indivudual Balloting
- [vhdl-200x] Draft_VASG_Operating_Procedures
- [vhdl-200x] Duncan Allen's Contact
- [vhdl-200x] DVCon 2004 Call For Papers
- [vhdl-200x] DVCon Call For Papers
- [vhdl-200x] DVCon meeting Action Item List
- [vhdl-200x] DVCon meeting Action Item List -> FastTrack
- [vhdl-200x] DVCon Meeting Information
- [vhdl-200x] DVCon VHDL Meetings
- [vhdl-200x] Email attachments will be discarded (for now)
- [vhdl-200x] Encrypted document
- [vhdl-200x] Expression signal assertion
- [vhdl-200x] External survey
- [vhdl-200x] External variable name
- [vhdl-200x] Fast Track Review Meeting Friday Feb 18 at Mentor in Wilsonville
- [vhdl-200x] Fast-Track Meeting
- [vhdl-200x] Fax Message
- [vhdl-200x] Features from Bugzilla database
- [vhdl-200x] Feedback sought for change to package std_logic_textio
- [vhdl-200x] Final Reminder: Call for Vote on ISAC Issues 1070, 2099, 2119, 2120, 2122
- [vhdl-200x] From Steve Bailey
- [vhdl-200x] FSM safe design
- [vhdl-200x] FT32 updated in preparation for next meeting
- [vhdl-200x] Functional Team Email Lists
- [vhdl-200x] FWD: About the vector matrix package support for VHDL-AMS and VHDL
- [vhdl-200x] FWD: Annual EDPS 2007 Workshop announcement
- [vhdl-200x] Fwd: Bugzilla 286: Incremental binding example is illegal
- [vhdl-200x] Fwd: Fwd: IEEE P1076.1: Review and approval of requirements for vector/matrix operations
- [vhdl-200x] Fwd: IEEE Attorney response to "Can P1076 WG discuss external survey results?"
- [vhdl-200x] Fwd: P1076.1 standard packages
- [vhdl-200x] Fwd: P1735 draft review by your working group
- [vhdl-200x] Fwd: Proposal for the next version of the IEEE 1076 standard
- [vhdl-200x] Fwd: VHDL 2008 : std_logic_textio package
- [vhdl-200x] Groups - VHDL Technical Committee Meeting added
- [vhdl-200x] Groups - VHDL Technical Committee Meeting modified
- [vhdl-200x] Happy New Year
- [vhdl-200x] Hetereogenous interfaces
- [vhdl-200x] Hetereogenous interfaces...
- [vhdl-200x] Heterogeneous Interfaces in VHDL
- [vhdl-200x] Hyper-linked BNFs
- [vhdl-200x] IEEE 1076-200x Prep Meeting
- [vhdl-200x] IEEE 200X Assertions Change Proposal - rev. 1
- [vhdl-200x] IEEE 200X Assertions Change Proposal - rev. 2
- [vhdl-200x] IEEE ballot formation for P1076C
- [vhdl-200x] IEEE P1076-2008 Balloting
- [vhdl-200x] IEEE P1076.6 Status
- [vhdl-200x] IEEE Std 1076-2008 is published
- [vhdl-200x] IEEE Std 1076-2008 pdf available.
- [vhdl-200x] IEEE, Accellera, and where to find enhancement proposals
- [vhdl-200x] IEEE-1850 PSL Working Group Meeting Postponed until September 23
- [vhdl-200x] Image attribute for array types
- [vhdl-200x] Implicit conversion
- [vhdl-200x] Implicit conversion, Overloading, & Strong Typin g
- Jonathan Bromley (Mon Jan 12 2004 - 01:17:08 PST)
- Jonathan Bromley (Mon Dec 22 2003 - 06:07:15 PST)
- Andy D Jones (Tue Dec 30 2003 - 07:25:39 PST)
- Evan Lavelle (Tue Dec 30 2003 - 04:06:55 PST)
- Erich Marschner (Mon Dec 29 2003 - 16:09:10 PST)
- Andy D Jones (Mon Dec 29 2003 - 06:06:10 PST)
- Scott Thibault (Fri Dec 26 2003 - 07:22:30 PST)
- Evan Lavelle (Wed Dec 24 2003 - 02:02:00 PST)
- Bailey, Stephen (Tue Dec 23 2003 - 14:34:24 PST)
- Tim Davis (Tue Dec 23 2003 - 14:13:59 PST)
- Bailey, Stephen (Tue Dec 23 2003 - 07:59:41 PST)
- Jonathan Bromley (Tue Dec 23 2003 - 03:13:19 PST)
- Jayaram Bhasker (Mon Dec 22 2003 - 08:52:09 PST)
- Bailey, Stephen (Fri Dec 19 2003 - 16:13:55 PST)
- Hamish Moffatt (Fri Dec 19 2003 - 15:30:03 PST)
- Bailey, Stephen (Fri Dec 19 2003 - 09:54:09 PST)
- Evan Lavelle (Fri Dec 19 2003 - 09:51:14 PST)
- Bailey, Stephen (Fri Dec 19 2003 - 09:14:34 PST)
- Bailey, Stephen (Fri Dec 19 2003 - 09:02:20 PST)
- Bailey, Stephen (Fri Dec 19 2003 - 08:24:18 PST)
- Bailey, Stephen (Fri Dec 19 2003 - 07:38:11 PST)
- Evan Lavelle (Fri Dec 19 2003 - 01:27:11 PST)
- Hamish Moffatt (Thu Dec 18 2003 - 23:34:53 PST)
- Bailey, Stephen (Thu Dec 18 2003 - 23:13:18 PST)
- Hamish Moffatt (Thu Dec 18 2003 - 22:49:45 PST)
- Bailey, Stephen (Thu Dec 18 2003 - 22:36:16 PST)
- [vhdl-200x] Implicit conversion, Overloading, & Strong Typing
- [vhdl-200x] implicit conversions
- [vhdl-200x] Implicit Conversions between like types (integer, unsigned, signed,) ....
- [vhdl-200x] Implicit port connections for VHDL
- [vhdl-200x] Important: call for VASG vote on draft LRM and ISAC issues
- [vhdl-200x] Improved std.env package?
- [vhdl-200x] Interface & Bundle Requirements
- [vhdl-200x] Interface and Bundle Enhancements
- [vhdl-200x] Interface Examples for Thursday's Meeting
- [vhdl-200x] interface proposals
- [vhdl-200x] Interface Requirements ...
- [vhdl-200x] Interface Requirements and Interface Proposals
- [vhdl-200x] Interface sandbox
- [vhdl-200x] Interfaces
- [vhdl-200x] Interfaces with normal, conjugated and monitor flavours
- [vhdl-200x] Interfaces/bundles in VHDL
- [vhdl-200x] Interoperability survey
- [vhdl-200x] Invitation to Shape VHDL 200x
- [vhdl-200x] Invitation: Investigation (Mar 30 09:00 AM CDT in PLPK-F1-Brownville-203/Plano Parkway TX@MAIL)
- [vhdl-200x] Invitation: P1076 WG Meeting July 19 @ Thu 2012-07-19 8am - 9:30am (vhdl-200x@eda.org)
- [vhdl-200x] Invitation: Test Post from calendar @ Thu Jun 28 2:30pm - 3:30pm (vhdl-200x@eda.org)
- [vhdl-200x] Invitation: VHDL Working Group Meeting @ Thu Aug 2 8am - 9:30am (vhdl-200x@eda.org)
- [vhdl-200x] IP Encryption P1735 draft review
- [vhdl-200x] IP Protection and Encryption Donation
- [vhdl-200x] IP Protection in VHDL
- [vhdl-200x] IR 2131
- [vhdl-200x] ISAC & Asynchronous VHDL Related to Proposed VHDL Extensions
- [vhdl-200x] ISAC restarting
- [vhdl-200x] Issue with VHDL name attributes
- [vhdl-200x] Issues in vhdl-2008
- [vhdl-200x] Iterating across a Record Type
- [vhdl-200x] Jan 26 VHDL Meeting Minutes + Feb 17 Meeting Notice
- [vhdl-200x] Jerry Kaczynski
- [vhdl-200x] Jerry's Encryption Corrections pdf file ...
- [vhdl-200x] Keeping track of TWiki changes and RSS Feed
- [vhdl-200x] Keeping up with changes on the TWIKI site
- [vhdl-200x] Keeping up with TWIKI
- [vhdl-200x] Language bloat
- [vhdl-200x] Language Transitions: SystemVerilog in the Ascendancy?
- [vhdl-200x] Last chance: Call for Vote on ISAC Issues 1000, 2111, 2115, 2116
- [vhdl-200x] librarie/package structure updated to namespaces
- [vhdl-200x] List Update Complete
- [vhdl-200x] List Update in progress
- [vhdl-200x] List Updated
- [vhdl-200x] Location of WG Meeting 27 Feb 03
- [vhdl-200x] Logical (and shift) operations on Integers
- [vhdl-200x] Lost Bugzilla issues
- [vhdl-200x] LRM bugs
- [vhdl-200x] LRM Changes Accellera and IEEE
- [vhdl-200x] LRM Code Example Fonts
- [vhdl-200x] LRM Missing Text?
- [vhdl-200x] LRM review meeting
- [vhdl-200x] Make sure to vote today... Call for Vote: VHDL + VHPI (P1076c) - 060908
- [vhdl-200x] Marketing Surveys are prohibited by IEEE
- [vhdl-200x] Material for Meeting Today
- [vhdl-200x] May log for victor
- [vhdl-200x] Meaningful names
- [vhdl-200x] Meeting 16 Nov 04
- [vhdl-200x] Meeting Agendas ...
- [vhdl-200x] Meeting Announcement Corrected: Thursday November 7, 8 am Pacific
- [vhdl-200x] Meeting Announcement: Thursday November 7, 8 am Pacific
- [vhdl-200x] Meeting at DVCon??
- [vhdl-200x] Meeting Change to 13-Oct
- [vhdl-200x] Meeting Friday November 25
- [vhdl-200x] Meeting Invitation: Friday November 25 and Thursday December 8
- [vhdl-200x] Meeting is Now: VHDL Study Group Meeting Reminder and Details
- [vhdl-200x] Meeting Notice
- [vhdl-200x] Meeting Notice : Thursday May 1. 8 am
- [vhdl-200x] Meeting Notice: Monday April 11 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Notice: Monday April 18 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Notice: Monday April 25 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Notice: Monday April 4 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Notice: Monday June 6 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Notice: Monday May 16 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Notice: Thursday April 11, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday April 19, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday April 25, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday April 3, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday April 30 at 11 am PST
- [vhdl-200x] Meeting Notice: Thursday April 9 at 11 am PST
- [vhdl-200x] Meeting Notice: Thursday August 2, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday August 20 at 11 am PDT
- [vhdl-200x] Meeting Notice: Thursday August 21 at 8 am PDT
- [vhdl-200x] Meeting Notice: Thursday August 27 at 11 am PDT
- [vhdl-200x] Meeting Notice: Thursday August 29, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday December 12, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday December 5, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday February 12 at 11 am PST
- [vhdl-200x] Meeting Notice: Thursday February 21, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday February 27, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday January 22 at 8 am PST
- [vhdl-200x] Meeting Notice: Thursday January 3, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday January 31, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday July 18, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday July 24 at 8 am PDT
- [vhdl-200x] Meeting Notice: Thursday June 12. 8 am
- [vhdl-200x] Meeting Notice: Thursday June 14, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday June 27, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday March 1, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday March 15, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday March 26 at 11 am PST
- [vhdl-200x] Meeting Notice: Thursday March 29, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday March 7, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday May 10, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday May 10, 8 am Pacific (fixed link)
- [vhdl-200x] Meeting Notice: Thursday May 14 at 11 am PST
- [vhdl-200x] Meeting Notice: Thursday May 23, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday May 24, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday May 9, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday November 13 at 8 am PDT
- [vhdl-200x] Meeting Notice: Thursday November 13 at 8 am PST
- [vhdl-200x] Meeting Notice: Thursday November 14, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday November 19 at 11 am PST
- [vhdl-200x] Meeting Notice: Thursday November 20 at 8 am PST
- [vhdl-200x] Meeting Notice: Thursday November 29, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday November 5 at 11 am PDT
- [vhdl-200x] Meeting Notice: Thursday November 5 at 11 am PST
- [vhdl-200x] Meeting Notice: Thursday October 1 at 11 am PDT
- [vhdl-200x] Meeting Notice: Thursday October 10, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday October 11, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday October 16 at 8 am PDT
- [vhdl-200x] Meeting Notice: Thursday October 2 at 8 am PDT
- [vhdl-200x] Meeting Notice: Thursday October 22 at 11 am PDT
- [vhdl-200x] Meeting Notice: Thursday September 12, 8 am Pacific
- [vhdl-200x] Meeting Notice: Thursday September 3 at 11 am PDT
- [vhdl-200x] Meeting Notice: VHPI Impact VHDL-200X-FT proposals Monday March 28
- [vhdl-200x] Meeting Notice:. Thursday August 6at 11 am PDT
- [vhdl-200x] Meeting Notice:. Thursday July 16 at 11 am PDT
- [vhdl-200x] meeting on Thursday
- [vhdl-200x] Meeting Remender: Thursday October 2 at 8 am PDT
- [vhdl-200x] Meeting Reminder
- [vhdl-200x] Meeting Reminder (updated links): Thursday December 15, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Agenda Interfaces. Thursday July 9 at 11 am PST
- [vhdl-200x] Meeting Reminder: Agenda Interfaces. Thursday June 11 at 11 am PST
- [vhdl-200x] Meeting Reminder: Agenda Interfaces. Thursday June 25 at 11 am PST
- [vhdl-200x] Meeting Reminder: Agenda Interfaces. Thursday May 14 at 11 am PST
- [vhdl-200x] Meeting Reminder: Agenda Interfaces. Thursday May 28 at 11 am PST
- [vhdl-200x] Meeting Reminder: April 28, 8 am Pacific
- [vhdl-200x] Meeting Reminder: August 11, 8 am Pacific
- [vhdl-200x] Meeting Reminder: August 25, 8 am Pacific
- [vhdl-200x] Meeting Reminder: July 14, 8 am Pacific
- [vhdl-200x] Meeting Reminder: July 28, 8 am Pacific
- [vhdl-200x] Meeting Reminder: June 30, 8 am Pacific
- [vhdl-200x] Meeting Reminder: March 31, 8 am Pacific
- [vhdl-200x] Meeting Reminder: May 26, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Monday April 11 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Reminder: Monday April 18 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Reminder: Monday April 4 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Reminder: Monday June 6 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Reminder: Monday March 14 VHDL-200x FT Review Meeting
- [vhdl-200x] Meeting Reminder: Monday March 28 VHDL-200X FT VHPI Impact Review Meeting
- [vhdl-200x] Meeting Reminder: Thursday April 11, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday April 19, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday April 30 at 11 am PST
- [vhdl-200x] Meeting Reminder: Thursday April 5, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday April 9 at 11 am PST
- [vhdl-200x] Meeting Reminder: Thursday Aug 1, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday August 2, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday August 20 at 11 am PDT
- [vhdl-200x] Meeting Reminder: Thursday August 21 at 8 am PDT
- [vhdl-200x] Meeting Reminder: Thursday August 27 at 11 am PDT
- [vhdl-200x] Meeting Reminder: Thursday August 28 at 8 am PDT
- [vhdl-200x] Meeting Reminder: Thursday August 29, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday August 7 at 8 am PDT
- [vhdl-200x] Meeting Reminder: Thursday December 12, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday December 15, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday December 17 at 11 am PST
- [vhdl-200x] Meeting Reminder: Thursday December 20, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday December 3 at 11 am PST
- [vhdl-200x] Meeting Reminder: Thursday December 5, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday Feb 17, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday February 16, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday February 21, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday February 27, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday January 16, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday January 2, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday January 26, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday January 3, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday January 30, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday January 31, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday January 5, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday January 7 at 11 am PST
- [vhdl-200x] Meeting Reminder: Thursday July 10. 8 am
- [vhdl-200x] Meeting Reminder: Thursday July 18, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday July 24 at 8 am PDT
- [vhdl-200x] Meeting Reminder: Thursday June 12. 8 am
- [vhdl-200x] Meeting Reminder: Thursday June 13, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday June 14, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday June 26. 8 am
- [vhdl-200x] Meeting Reminder: Thursday June 27, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday March 1, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday March 15, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday March 17
- [vhdl-200x] Meeting Reminder: Thursday March 18, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday March 19 at 11 am PST
- [vhdl-200x] Meeting Reminder: Thursday March 28, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday March 7, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday May 10, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday May 15. 8 am
- [vhdl-200x] Meeting Reminder: Thursday May 23, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday May 24, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday May 29. 8 am
- [vhdl-200x] Meeting Reminder: Thursday May 9, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday November 10 and Friday November 25
- [vhdl-200x] Meeting Reminder: Thursday November 14, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday November 29, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday November 7, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday November 8, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday October 1 at 11 am PDT
- [vhdl-200x] Meeting Reminder: Thursday October 10, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday October 11, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday October 13, at 8 am Pacific Time.
- [vhdl-200x] Meeting Reminder: Thursday October 15 at 11 am PDT
- [vhdl-200x] Meeting Reminder: Thursday October 16 at 8 am PDT
- [vhdl-200x] Meeting Reminder: Thursday October 22 at 11 am PDT
- [vhdl-200x] Meeting Reminder: Thursday October 24, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday October 27, at 8 am Pacific Time.
- [vhdl-200x] Meeting Reminder: Thursday October 30 at 8 am PDT
- [vhdl-200x] Meeting Reminder: Thursday September 11 at 8 am PDT
- [vhdl-200x] Meeting Reminder: Thursday September 12, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday September 13, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday September 17 at 11 am PDT
- [vhdl-200x] Meeting Reminder: Thursday September 22, at 8 am Pacific Time.
- [vhdl-200x] Meeting Reminder: Thursday September 25 at 8 am PDT
- [vhdl-200x] Meeting Reminder: Thursday September 26, 8 am Pacific
- [vhdl-200x] Meeting Reminder: Thursday September 3 at 11 am PDT
- [vhdl-200x] Meeting Reminder: VHDL Meeting: January 10 at 8 am Pacific
- [vhdl-200x] Meeting Reminder: Wednesday January 26 at 8 am Pacific
- [vhdl-200x] Meeting Reminder:. Thursday August 6 at 11 am PDT
- [vhdl-200x] Meeting Reminder:. Thursday July 23 at 11 am PDT
- [vhdl-200x] Meeting Reschedule: Thursday April 5, 8 am Pacific
- [vhdl-200x] Meeting Reschedule: Thursday June 21, 8 am Pacific
- [vhdl-200x] Meeting Soon (+- 1 hour): Thursday August 20 at 11 am PDT
- [vhdl-200x] Meeting Soon: Thursday June 27, 8 am Pacific
- [vhdl-200x] Meeting starting now
- [vhdl-200x] Meeting Starting Now: Thursday March 26 at 11 am PST
- [vhdl-200x] Meeting starting soon + Doc update: Thursday Feb 17, 8 am Pacific
- [vhdl-200x] Meeting Starting Soon: July 28, 8 am Pacific
- [vhdl-200x] Meeting Starting Soon: Thursday April 9 at 11 am PST
- [vhdl-200x] Meeting Starting: Agenda Interfaces. Thursday June 11 at 11 am PST
- [vhdl-200x] Meeting Starting: Thursday June 13, 8 am Pacific
- [vhdl-200x] Meeting Starting: Thursday March 19 at 11 am PST
- [vhdl-200x] Meeting Starting:. Thursday August 6at 11 am PDT
- [vhdl-200x] Meeting Starting:. Thursday July 23 at 11 am PDT
- [vhdl-200x] Meeting Starts in < 1 hour: Thursday March 26 at 11 am PST
- [vhdl-200x] Meeting: IEEE 1076-200x Meeting
- [vhdl-200x] Meeting: VHDL 200X Meeting
- [vhdl-200x] Merger of Math Packages (P1076.2) with 1076
- [vhdl-200x] Merging of 1076 and 1164 WGs
- [vhdl-200x] Michael W Wielebski/Mequon/RA/Rockwell is out of the office.
- [vhdl-200x] Minutes + updates to IP Encription Proposal
- [vhdl-200x] Minutes for VHDL-200X-FT meeting, San Jose Dec 4, 2003
- [vhdl-200x] Minutes from 3/4/2004 WG Meeting
- [vhdl-200x] Minutes of 25 May 04 Telecon Meeting
- [vhdl-200x] Minutes of DASC Meeting
- [vhdl-200x] Minutes of June 2003 VHDL-200x / VASG Meeting
- [vhdl-200x] Minutes of VASG (VHDL-200x) Meeting at FDL, Frankfurt, Germany 23 Sep 03
- [vhdl-200x] Modular types
- [vhdl-200x] Modular types)
- [vhdl-200x] Modular types, alternative solutions
- Kevin Thibedeau (Thu Oct 30 2014 - 07:41:28 PDT)
- ht-lab (Thu Oct 30 2014 - 02:17:25 PDT)
- Ray Andraka, Andraka Consulting Group, Inc (Wed Oct 29 2014 - 12:53:11 PDT)
- Joseph M Gwinn (Wed Oct 29 2014 - 11:57:13 PDT)
- Jim Lewis (Wed Oct 29 2014 - 11:51:29 PDT)
- Ray Andraka, Andraka Consulting Group, Inc (Wed Oct 29 2014 - 10:03:00 PDT)
- Jim Lewis (Wed Oct 29 2014 - 09:42:01 PDT)
- Ray Andraka, Andraka Consulting Group, Inc (Wed Oct 29 2014 - 09:12:45 PDT)
- Ray Andraka, Andraka Consulting Group, Inc (Wed Oct 29 2014 - 04:05:41 PDT)
- ht-lab (Wed Oct 29 2014 - 03:29:52 PDT)
- Tristan Gingold (Tue Oct 28 2014 - 21:27:00 PDT)
- Tristan Gingold (Tue Oct 28 2014 - 21:27:36 PDT)
- Ray Andraka, Andraka Consulting Group, Inc (Fri Oct 24 2014 - 04:26:05 PDT)
- whygee@f-cpu.org (Thu Oct 23 2014 - 21:06:35 PDT)
- tgingold@free.fr (Thu Oct 23 2014 - 20:52:12 PDT)
- whygee@f-cpu.org (Thu Oct 23 2014 - 15:43:14 PDT)
- Ray Andraka, Andraka Consulting Group, Inc (Thu Oct 23 2014 - 15:15:43 PDT)
- Joseph M Gwinn (Thu Oct 23 2014 - 14:47:13 PDT)
- Jim Lewis (Thu Oct 23 2014 - 14:32:20 PDT)
- tgingold@free.fr (Mon Oct 20 2014 - 11:14:58 PDT)
- Jim Lewis (Thu Oct 16 2014 - 11:38:13 PDT)
- [vhdl-200x] Monday August 16 VHDL-200x FT Review Meeting
- [vhdl-200x] Monday August 16 VHDL-200x FT Review Meeting - Agenda Correction
- [vhdl-200x] Monday August 2 VHDL-200x FT Review Meeting
- [vhdl-200x] Monday August 9 VHDL-200x FT Review Meeting
- [vhdl-200x] Monday July 12th VHDL-200x Fast Track Review Meeting
- [vhdl-200x] Monday July 19th VHDL-200x FT Review Meeting
- [vhdl-200x] Monday July 26th VHDL-200x FT Review Meeting
- [vhdl-200x] Monday October 11 VHDL-200x FT Review Meeting
- [vhdl-200x] Monday September 13 VHDL-200x FT Review Meeting
- [vhdl-200x] Monday September 13VHDL-200x FT Review Meeting
- [vhdl-200x] More operators for integers
- [vhdl-200x] Multi-cycle Paths WAS: Clocked Shorthand Proposal
- [vhdl-200x] My Priorities
- [vhdl-200x] nanosecond resolution
- [vhdl-200x] New IEEE reflector soon
- [vhdl-200x] New parameter mechanism wanted
- [vhdl-200x] New reflector is up. All future announcements will be there
- [vhdl-200x] New types based on FT-14
- [vhdl-200x] New VHDL Website
- [vhdl-200x] New Years Reminders for VHDL-200x and DASC
- [vhdl-200x] Next Fast-Track Review Meeting
- [vhdl-200x] Next Meeting
- [vhdl-200x] Next Meeting Dates
- [vhdl-200x] Next Meeting Monday March 14 VHDL-200x FT Review Meeting
- [vhdl-200x] Next Meeting, Thursday December 15, 8 am Pacific
- [vhdl-200x] Next Meeting: April 21, 8 am Pacific
- [vhdl-200x] Next Meeting: August 11, 8 am Pacific
- [vhdl-200x] Next Meeting: July 14, 8 am Pacific
- [vhdl-200x] Next Meeting: July 28, 8 am Pacific
- [vhdl-200x] Next Meeting: June 30, 8 am Pacific
- [vhdl-200x] Next Meeting: March 31, 8 am Pacific
- [vhdl-200x] Next Meeting: Monday Dec 20 VHDL-200x FT Review Meeting
- [vhdl-200x] Next Meeting: Monday Jan 10 VHDL-200x FT Review Meeting
- [vhdl-200x] Next Meeting: Thursday January 26, 8 am Pacific
- [vhdl-200x] Next Meeting: Thursday January 5, 8 am Pacific
- [vhdl-200x] Next Meeting: Thursday March 17 + posted 3/3 minutes
- [vhdl-200x] Next Meeting: Thursday March 3 + posted 02/17 minutes
- [vhdl-200x] Next Meeting: Thursday September 22, at 8 am Pacific Time.
- [vhdl-200x] Next Meetings: Thursday November 10 and Friday November 25
- [vhdl-200x] Next VHDL Meeting: January 10 at 8 am Pacific
- [vhdl-200x] Next VHDL-200x Meeting
- [vhdl-200x] Next: VHDL Study Group Meeting
- [vhdl-200x] No htm or html links in reflector traffic!
- [vhdl-200x] No Meeting at DVCon. Dinner?
- [vhdl-200x] Nomination
- [vhdl-200x] Notification
- [vhdl-200x] numeric_std division problem
- [vhdl-200x] Object oriented VHDL
- [vhdl-200x] Officers ...
- [vhdl-200x] On rescinding the change to architectures
- [vhdl-200x] OO and Randomization Proposals
- [vhdl-200x] OO, Interfaces, Methodology Call For Participation
- [vhdl-200x] Open Discussion on Working Group Policies and Procedures
- [vhdl-200x] Open Issues looking for an owner
- [vhdl-200x] Open Item: Need team leader for 1076.6 activities in 1076
- [vhdl-200x] open LRM issues
- [vhdl-200x] Out mode generics -- Looking for use cases
- [vhdl-200x] Out of Bounds Discussion - VHDL IP encryption - key management
- [vhdl-200x] Outcome of P1076 VASG election
- [vhdl-200x] Outcome of P1164 vote to merge with P1076
- [vhdl-200x] P1076 call for vote: ISAC difficult issues
- [vhdl-200x] P1076 call for vote: ISAC minor issues
- [vhdl-200x] P1076 call for vote: ISAC moderate issues
- [vhdl-200x] Packages and Error Checking
- [vhdl-200x] PAR approved
- [vhdl-200x] PAR Progress Report
- [vhdl-200x] PAR Progress Report and VHDL Enhancement wish list
- [vhdl-200x] Parallel execution of procedures within sequentiel statements
- [vhdl-200x] Participating
- [vhdl-200x] Participating, ...
- [vhdl-200x] Pass-through package
- [vhdl-200x] Performance Enhancements
- [vhdl-200x] Performance Proposals
- [vhdl-200x] Personal Update from Steve Bailey
- [vhdl-200x] Please proof read corrected Annex A
- [vhdl-200x] Please remove me from this alias
- [vhdl-200x] Please Review Interface Proposals
- [vhdl-200x] Please Review Matrix Math Package
- [vhdl-200x] Please set email to plain text only ...
- [vhdl-200x] Portable Stimulus Working Group
- [vhdl-200x] Posted Meeting Minutes for Monday Feb 7 VHDL-200x FT Review Meeting
- [vhdl-200x] Posted Meeting Minutes for Monday Jan 10 VHDL-200x FT Review Meeting
- [vhdl-200x] Posted updates to FT26
- [vhdl-200x] Predefined array types
- [vhdl-200x] Presentation for 6 Jun VHDL-200x WG Meeting
- [vhdl-200x] Presentation for Tomorrow's WG
- [vhdl-200x] Preview of ISAC issues to vote on
- [vhdl-200x] Prioritizing Requirements
- [vhdl-200x] Problems with 2008 VHDL packages.
- [vhdl-200x] Proceeding with link to UML tool download
- [vhdl-200x] Proposal for improved physical types
- [vhdl-200x] Proposals Updated. Reminder full day meeting Friday Feb 18 9-5 PST.
- [vhdl-200x] Protect tool - Key block clarification
- [vhdl-200x] PSL Language Change Specification Draft
- [vhdl-200x] Question on provision of UML tool with P1076c
- [vhdl-200x] Re-Revised Next Meeting Dates
- [vhdl-200x] reading out ports and forcing them in vhdl 2008
- [vhdl-200x] Record slices (branched from Directional records proposal)
- [vhdl-200x] Records with diectional subtypes
- [vhdl-200x] Records with diectional subtypes - Repost
- [vhdl-200x] Records with directional subtypes
- [vhdl-200x] Regarding Fixed Point Algorithmic User's Guide
- [vhdl-200x] Regarding Matrix Math Users' Guide proposal
- [vhdl-200x] regarding resolution function for resolved signal with single source
- [vhdl-200x] Rejected Item List
- [vhdl-200x] Relax locally static restrictions?
- [vhdl-200x] Reminder CFV: Call for Vote on Operating Procedures for P1076 Working Group
- [vhdl-200x] Reminder Next Meeting is today: Monday Feb 28 VHDL-200x FT Review Meeting
- [vhdl-200x] Reminder Next Meeting is today: Monday Feb 7 VHDL-200x FT Review Meeting
- [vhdl-200x] Reminder Next Meeting: Monday Jan 10 VHDL-200x FT Review Meeting
- [vhdl-200x] Reminder of VHDL-200x Fast-Track Meeting
- [vhdl-200x] Reminder/Change Next Meeting: Monday Feb 7 VHDL-200x FT Review Meeting
- [vhdl-200x] Reminder/Change Next Meeting: Monday Jan 10 VHDL-200x FT Review Meeting
- [vhdl-200x] Reminder: Call for election of P1076 officers
- [vhdl-200x] Reminder: Call for nominations for P1076.1 VHDL-AMS officers
- [vhdl-200x] Reminder: call for VASG vote on draft LRM and ISAC issues
- [vhdl-200x] Reminder: Call for Vote (Repeat)
- [vhdl-200x] Reminder: Call for Vote on Group Organization and PAR
- [vhdl-200x] Reminder: Call for Vote on ISAC Issues
- [vhdl-200x] Reminder: Call for Vote on ISAC Issues 1000, 2111, 2115, 2116
- [vhdl-200x] Reminder: Call for Vote on ISAC Issues: 060602
- [vhdl-200x] Reminder: CFV: Call for Vote ... closes Wednesday
- [vhdl-200x] Reminder: IEEE P1076-2008 Ballot Group Closing Soon
- [vhdl-200x] Reminder: IEEE P1076-2008 Balloting
- [vhdl-200x] Reminder: Meeting Thursday March 3
- [vhdl-200x] Reminder: Monday Nov 1 VHDL-200x FT Review Meeting
- [vhdl-200x] Reminder: Monday Nov 29 VHDL-200x FT Review Meeting
- [vhdl-200x] Reminder: Monday October 18 VHDL-200x FT Review Meeting
- [vhdl-200x] Reminder: Monday October 25 VHDL-200x FT Review Meeting
- [vhdl-200x] Reminder: nominations for P1076
- [vhdl-200x] Reminder: P1076 call for vote: ISAC minor issues
- [vhdl-200x] Reminder: P1076 call for vote: ISAC moderate issues
- [vhdl-200x] Reminder: Prioritizing Requirements
- [vhdl-200x] Reminder: review of draft VHPI specification
- [vhdl-200x] Reminder: Tuesday Nov 16 VHDL-200x FT Review Meeting
- [vhdl-200x] Reminder: VHDL Meeting @ Thu 2012-08-30 8am - 9:00am
- [vhdl-200x] Reminder: Vote closes Thursday: Call for Vote on Additional Issues
- [vhdl-200x] Reminder: Vote on ISAC Issues: 060502
- [vhdl-200x] Request for comment: renumbering VHDL LRM clauses
- [vhdl-200x] Request for Input
- [vhdl-200x] Request for IP Encryption (P1735)
- [vhdl-200x] Request for Opinion: Should 1076.6 be subsumed into the main 1076 standard?
- [vhdl-200x] Requirements for Interfaces
- [vhdl-200x] Requirements for VHDL Interfaces
- [vhdl-200x] Requirements to do verification
- [vhdl-200x] Reschedule Meeting: April 28, 8 am Pacific
- [vhdl-200x] Reschedule: Meeting Friday November 25
- [vhdl-200x] Reschedule: todays meeting has been moved to Monday, May 2
- [vhdl-200x] Response to Reviews of Matrix Math Package
- [vhdl-200x] Result of 1076/1164 Merger Vote (for the 1076 WG)
- [vhdl-200x] Result of VASG vote on draft LRM and ISAC issues
- [vhdl-200x] Result of VASG vote on ISAC issues
- [vhdl-200x] Result of vote on ISAC IRs
- [vhdl-200x] Result of Vote on ISAC issues
- [vhdl-200x] Result of Vote on ISAC Issues 1070, 2099, 2119, 2120, 2122
- [vhdl-200x] Result of votes on ISAC issues
- [vhdl-200x] Results of 1076.2/1076 Merger Vote
- [vhdl-200x] Results of Accellera/1076 MOU Approval Vote
- [vhdl-200x] Results of PSL Advisory Vote
- [vhdl-200x] Results of Vote to Merge P1604 into P1076
- [vhdl-200x] Results of WG Officer Elections
- [vhdl-200x] Results: Call for Vote on ISAC Issues: 060502
- [vhdl-200x] Review of FT-32
- [vhdl-200x] Revised Next Meeting Dates
- [vhdl-200x] Revised voter eligibility list for P1076 election
- [vhdl-200x] Revised white paper on type genericity
- [vhdl-200x] Revision of path name proposal doc
- [vhdl-200x] Revision PAR for P1076 VHDL approved
- [vhdl-200x] RFC (request for comments): Please review previous items lists
- [vhdl-200x] RFC (request for comments/review): API/Attributes for Assert
- [vhdl-200x] RFC (Request for Comments/Review): Assert API
- [vhdl-200x] RFC (Request for Comments/Review): Deferred Shared Variables
- [vhdl-200x] RFC (Request for Comments/Review): Expressions in Bit String Literals
- [vhdl-200x] RFC External Non-Shared Variable Name - WAS: External variable name
- [vhdl-200x] RFC: Addition to ALL Keyword in Sensitivity Lists
- [vhdl-200x] RFC: New Predefined Attributes: 'actual, and 'formal
- [vhdl-200x] RFC: Remaining Items Lists
- [vhdl-200x] RFC: std.textio.OUTPUT ?
- [vhdl-200x] Second Call For Nominations
- [vhdl-200x] Semi-Complex SPI Use Case
- [vhdl-200x] Should we merge 1076.3 into 1076?
- [vhdl-200x] Should we merge 1164 into 1076?
- [vhdl-200x] Signup for meeting Friday Nov 25
- [vhdl-200x] Simple Subset of PSL: Strong Affirmative Vote
- [vhdl-200x] Site changes
- [vhdl-200x] Sizing of variables and signals from initial values
- [vhdl-200x] Spam
- [vhdl-200x] Standard Package enhancements
- [vhdl-200x] Standardize GHW format?
- [vhdl-200x] Start at path names analysis and proposal
- [vhdl-200x] Stephen Bailey's concerns on Group Organization and PAR
- [vhdl-200x] Strong Timing
- [vhdl-200x] subject annotation proposal
- [vhdl-200x] Subtype attribute
- [vhdl-200x] Summary of Teleconferencing Costs
- [vhdl-200x] Support for hex, octal, binary in places where integers can be used
- [vhdl-200x] Support for Register Address Descriptors: Was: Support for hex, ....
- [vhdl-200x] supporting material from P1735 overview
- [vhdl-200x] Switch model
- [vhdl-200x] Telecon Info for 12/13 Meeting
- [vhdl-200x] Telecon Meeting Reminder
- [vhdl-200x] test
- [vhdl-200x] test - please ignore
- [vhdl-200x] test - was down, is it now?
- [vhdl-200x] test -please ignore
- [vhdl-200x] test9
- [vhdl-200x] testing email traffic - please ignore
- [vhdl-200x] This PR Just Hit the Wires
- [vhdl-200x] To_Boolean(std_obj) vs if std_obj
- [vhdl-200x] Today's FT Meeting
- [vhdl-200x] Today's Meeting Starts Soon
- [vhdl-200x] Todays meeting starting
- [vhdl-200x] Transmission gate mechanism and new parameter mechanism wanted
- [vhdl-200x] Transmission gate mechanism and new parameter mechanismwanted
- [vhdl-200x] Twiki accounts
- [vhdl-200x] Twiki helpers
- [vhdl-200x] TWiki Registration Broken
- [vhdl-200x] Type Generics and Such
- [vhdl-200x] Unofficial VHDL study group meeting minutes - 12/01/2010
- [vhdl-200x] Unsubscribing
- [vhdl-200x] Update
- [vhdl-200x] Update to proposal for arbitrary integers
- [vhdl-200x] Update to proposal for arbitrary integers)
- [vhdl-200x] UPDATE: VHDL-200x Working Group Meeting Location
- [vhdl-200x] Updated Collected Requirements Page
- [vhdl-200x] Updated copy of draft posted
- [vhdl-200x] Updated Email Address
- [vhdl-200x] Updated Enum Attributes twiki page
- [vhdl-200x] Updated Vote to Approve WG P&Ps
- [vhdl-200x] Updated: IEEE 1076-200X VHDL Prep Telecon
- [vhdl-200x] Updates to standard packages
- [vhdl-200x] Updating VHDL email list
- [vhdl-200x] Upward propagating generics
- [vhdl-200x] Using the bugzilla system
- [vhdl-200x] Using the TWIKI
- [vhdl-200x] VASG (VHDL 200x) Meeting at DATE
- [vhdl-200x] VASG / VHDL-200x Meeting at DAC
- [vhdl-200x] vasg / vhdl-200x webpages
- [vhdl-200x] VASG Nomination
- [vhdl-200x] VHDL 2008 : std_logic_textio package
- [vhdl-200x] VHDL 2008 packages on GitHub?
- [vhdl-200x] VHDL 200x Birds of a Feather at DVCon
- [vhdl-200x] VHDL 200x Meeting at DAC
- [vhdl-200x] VHDL 200x meeting at DATE
- [vhdl-200x] VHDL 200x Membership
- [vhdl-200x] VHDL 200x Working Group Meeting
- [vhdl-200x] VHDL Accellera & IEEE
- [vhdl-200x] VHDL Bugzilla Issue Tracker
- [vhdl-200x] VHDL enhancements wish list
- Daniel Kho (Fri Mar 11 2011 - 23:49:18 PST)
- Mark Zwolinski (Fri Mar 11 2011 - 09:22:18 PST)
- Peter Flake (Fri Mar 11 2011 - 08:58:50 PST)
- David G. Koontz (Thu Mar 10 2011 - 22:59:19 PST)
- Jim Lewis (Thu Mar 10 2011 - 21:37:09 PST)
- David G. Koontz (Thu Mar 10 2011 - 17:46:20 PST)
- ben cohen (Wed Mar 09 2011 - 09:13:23 PST)
- Jim Lewis (Tue Mar 08 2011 - 20:48:20 PST)
- ben cohen (Tue Mar 08 2011 - 15:44:12 PST)
- Jones, Andy D (Tue Mar 08 2011 - 14:57:36 PST)
- Jim Lewis (Tue Mar 08 2011 - 08:35:29 PST)
- Jones, Andy D (Tue Mar 08 2011 - 07:23:39 PST)
- David G. Koontz (Tue Mar 08 2011 - 03:40:10 PST)
- ben cohen (Mon Mar 07 2011 - 16:58:05 PST)
- Jones, Andy D (Mon Mar 07 2011 - 14:44:31 PST)
- Jones, Andy D (Mon Mar 07 2011 - 14:27:13 PST)
- ben cohen (Mon Mar 07 2011 - 07:00:39 PST)
- Martin.J Thompson (Mon Mar 07 2011 - 06:58:58 PST)
- Jones, Andy D (Mon Mar 07 2011 - 06:43:02 PST)
- Martin.J Thompson (Fri Mar 04 2011 - 08:08:54 PST)
- David Koontz (Thu Mar 03 2011 - 23:42:30 PST)
- Jakko Verhallen (Tue Mar 01 2011 - 01:48:27 PST)
- Martin.J Thompson (Tue Mar 01 2011 - 01:41:35 PST)
- ben cohen (Sat Feb 26 2011 - 15:04:32 PST)
- Peter Flake (Sat Feb 26 2011 - 11:12:08 PST)
- Daniel Kho (Thu Feb 24 2011 - 17:36:34 PST)
- Daniel Kho (Thu Feb 24 2011 - 17:10:01 PST)
- Jim Lewis (Thu Feb 24 2011 - 14:00:55 PST)
- Hoy, Scott - IS (Thu Feb 24 2011 - 13:35:40 PST)
- Daniel Kho (Thu Feb 24 2011 - 11:52:20 PST)
- David Bishop (Thu Feb 24 2011 - 10:28:29 PST)
- ryan.w.hinton@L-3com.com (Thu Feb 24 2011 - 09:24:02 PST)
- hans@ht-lab (Thu Feb 24 2011 - 08:50:08 PST)
- Jonathan Ross (Thu Feb 24 2011 - 08:10:18 PST)
- David Bishop (Thu Feb 24 2011 - 07:03:19 PST)
- Jones, Andy D (Thu Feb 24 2011 - 06:50:03 PST)
- Jonas Nilsson (Thu Feb 24 2011 - 01:41:56 PST)
- Farrell Ostler (Tue Feb 22 2011 - 10:26:51 PST)
- Daniel Kho (Tue Feb 22 2011 - 10:16:22 PST)
- Jones, Andy D (Tue Feb 22 2011 - 10:09:27 PST)
- Jones, Andy D (Tue Feb 22 2011 - 09:52:04 PST)
- Jim Lewis (Tue Feb 22 2011 - 09:48:54 PST)
- Daniel Kho (Tue Feb 22 2011 - 09:20:29 PST)
- hans@ht-lab (Tue Feb 22 2011 - 09:09:51 PST)
- Jim Lewis (Tue Feb 22 2011 - 08:56:25 PST)
- Daniel Kho (Tue Feb 22 2011 - 08:44:01 PST)
- Daniel Kho (Tue Feb 22 2011 - 08:34:48 PST)
- Daniel Kho (Tue Feb 22 2011 - 08:27:25 PST)
- Jones, Andy D (Tue Feb 22 2011 - 08:14:03 PST)
- Jones, Andy D (Tue Feb 22 2011 - 07:50:44 PST)
- Daniel Kho (Tue Feb 22 2011 - 05:30:04 PST)
- hans@ht-lab (Tue Feb 22 2011 - 04:11:56 PST)
- hans@ht-lab (Tue Feb 22 2011 - 03:42:59 PST)
- Daniel Kho (Mon Feb 21 2011 - 17:06:09 PST)
- Jim Lewis (Mon Feb 21 2011 - 14:42:14 PST)
- Jim Lewis (Mon Feb 21 2011 - 13:35:04 PST)
- ben cohen (Mon Feb 21 2011 - 11:10:08 PST)
- David Bishop (Mon Feb 21 2011 - 10:22:35 PST)
- Joanne Degroat (Mon Feb 21 2011 - 07:13:49 PST)
- ben cohen (Mon Feb 21 2011 - 06:54:20 PST)
- hans@ht-lab (Mon Feb 21 2011 - 03:20:37 PST)
- Evan Lavelle (Mon Feb 21 2011 - 01:38:04 PST)
- ben cohen (Fri Feb 18 2011 - 22:23:13 PST)
- ryan.w.hinton@L-3com.com (Fri Feb 18 2011 - 20:03:55 PST)
- ben cohen (Fri Feb 18 2011 - 16:52:08 PST)
- ryan.w.hinton@L-3com.com (Fri Feb 18 2011 - 16:27:57 PST)
- ben cohen (Fri Feb 18 2011 - 16:03:21 PST)
- ryan.w.hinton@L-3com.com (Fri Feb 18 2011 - 12:25:06 PST)
- ryan.w.hinton@L-3com.com (Fri Feb 18 2011 - 12:04:37 PST)
- Jim Lewis (Fri Feb 18 2011 - 10:04:13 PST)
- Daniel Kho (Fri Feb 18 2011 - 09:01:04 PST)
- Martin.J Thompson (Fri Feb 18 2011 - 08:15:14 PST)
- Daniel Kho (Fri Feb 18 2011 - 07:58:28 PST)
- Martin.J Thompson (Fri Feb 18 2011 - 05:45:38 PST)
- Daniel Kho (Fri Feb 18 2011 - 05:29:22 PST)
- hans@ht-lab (Fri Feb 18 2011 - 02:59:15 PST)
- Martin.J Thompson (Fri Feb 18 2011 - 01:12:06 PST)
- Daniel Kho (Thu Feb 17 2011 - 18:02:11 PST)
- ryan.w.hinton@L-3com.com (Thu Feb 17 2011 - 12:17:41 PST)
- [vhdl-200x] VHDL enhancements wish list)
- [vhdl-200x] VHDL IP encryption - key management
- [vhdl-200x] VHDL is Domain Non-specific. Verilog is domain specific
- [vhdl-200x] VHDL Meeting is starting now.
- [vhdl-200x] VHDL Meeting Minutes + Next Meeting Notice
- [vhdl-200x] VHDL Meeting reminder: Dec 16 at 8 am Pacific
- [vhdl-200x] VHDL Meeting Starting. Please sign in through WhiteBoard at:
- [vhdl-200x] VHDL Meeting: January 10 at 8 am Pacific
- [vhdl-200x] VHDL meetings at DAC
- [vhdl-200x] VHDL Package Copyrights ... :)
- [vhdl-200x] VHDL PAR P1076 (VHDL-200X / Accellera 3.0) Invitation to ballot
- [vhdl-200x] VHDL Study Group Meeting Notice
- [vhdl-200x] VHDL Study Group Meeting Reminder and Details
- [vhdl-200x] VHDL support for Unicode
- [vhdl-200x] VHDL ternary operation
- [vhdl-200x] VHDL Testbench Enhancements
- [vhdl-200x] VHDL-2008: Records and aggregates
- [vhdl-200x] VHDL-200x / VASG WG Presentation
- [vhdl-200x] VHDL-200x Meeting at DAC
- [vhdl-200x] VHDL-200x Meeting at DAC?
- [vhdl-200x] VHDL-200x Membership
- [vhdl-200x] VHDL-200x Paper Acceptances
- [vhdl-200x] vhdl-200x test ignore
- [vhdl-200x] VHDL-200x will need changes in VHPI examples
- [vhdl-200x] VHDL-200x Working Group Meeting
- [vhdl-200x] VHDL-200x Working Group Meeting Location
- [vhdl-200x] VHDL-200X-FT proposals updated
- [vhdl-200x] VHDL-200x: Call for further vote on ISAC recommendations
- [vhdl-200x] VHDL-200x: Reminder - Call for further vote on ISAC recommendations
- [vhdl-200x] VHPI Draft Review
- [vhdl-200x] vhpi names and psl names
- [vhdl-200x] VHPI standard
- [vhdl-200x] VhPI Update
- [vhdl-200x] vhpiSizeP for vhpiRecordTypeDeclK
- [vhdl-200x] Volunteers for Chairing 1076.4 (VITAL) or 1076.6 (RTL Synthesis)
- [vhdl-200x] Vote Cancelled: VHDL + VHPI (P1076c-2006-D2.4a)]
- [vhdl-200x] Vote for Working Group Officers
- [vhdl-200x] Vote Results: Call for Vote on Additional Issues
- [vhdl-200x] Vote results: ISAC difficult issues
- [vhdl-200x] Voting on VHDL-200x proposals and language changes
- [vhdl-200x] Voting Results on ISAC Issues: Vote closed 2007_0410
- [vhdl-200x] Voting Results: 060602
- [vhdl-200x] Voting Results: Call for Vote on ISAC Issues
- [vhdl-200x] Voting Results: Call for Vote: VHDL + VHPI (P1076c) - 060908
- [vhdl-200x] Voting Results: ISAC Response
- [vhdl-200x] VSG Officer Election Results
- [vhdl-200x] web meeting tools
- [vhdl-200x] WebEx Registration For IEEE 1076-200x Meeting
- [vhdl-200x] WebNotify
- [vhdl-200x] Webpage updated
- [vhdl-200x] WG Roster
- [vhdl-200x] WG Voting Membership and Participation
- [vhdl-200x] What is Minimal Risk?
- [vhdl-200x] Which lists to use for genericity discussion
- [vhdl-200x] Whiteboards
- [vhdl-200x] Why OOP vs Generics
- [vhdl-200x] Working Group Elections
- [vhdl-200x] Working Group Meetings
- [vhdl-200x] Working Group Voting Membership Requirements
- [vhdl-200x] Yes: Meeting today
- [vhdl-200x] {Disarmed} Invitation: VHDL Meeting @ Thu 2012-08-16 8am - 9:30am (vhdl-200x@eda.org)
- [vhdl-200x] {Filename?} DPI example
- [vhdl-200x], vital issues
- [vhdl-200x], vital issues = VHDL Libraries
- [vhdl-201x] Performance Enhancements
- [vhdl] Groups - VHDL TC Meeting added
- [vhdl] Groups - VHDL TC Requirements Subcommittee added
- [vhdl] Groups - VHDL TC Requirements Subcommittee Meeting added
- [vhdlsynth] Fixed point arithmetic in 1076.3
- Accepted: [vhdl-200x] Invitation: Investigation (Mar 30 09:00 AM CDT in PLPK-F1-Brownville-203/Plano Parkway TX@MAIL)
- Advisory vote: simple subset of PSL
- ANDY: [vhdl-200x] Corrections to Minutes for VHDL-200X-FT meeting, San Jose Dec 4, 2003
- AW: [vhdl-200x] Interfaces with normal, conjugated and monitor flavours
- Aw: [vhdl-200x] Next Meeting: Thursday March 17 + posted 3/3 minutes
- AW: [vhdl-200x] Support for hex, octal, binary in places where integers can be used
- AW: [vhdl-200x] Updated Enum Attributes twiki page
- BOUNCE vhdl-200x@eda.org: Non-member submission from [David Bishop <david.bishop@kodak.com>]
- Call for election of P1076 officers
- Call to participate: IEEE Property Specification Language (PS L)
- Call to participate: IEEE Property Specification Language (PSL)
- Call to participate: IEEE Property Specification Language (PSL) Kickoff Meeting
- Change to "report" statements
- Clocked Shorthand Proposal - Need Consensus
- Collected wish list
- conditional compilation response
- DASC membership application and renewal for 2004
- DASC Reminder: Membership renewal due 31-Dec-2003
- DASC: draft procedures on web site
- Declined: [vhdl-200x] Invitation: Investigation (Mar 30 09:00 AM CDT in PLPK-F1-Brownville-203/Plano Parkway TX@MAIL)
- Declined: [vhdl-200x] {Disarmed} Invitation: VHDL Meeting @ Thu 2012-08-16 8am - 9:30am (vhdl-200x@eda.org)
- Document
- Electronic Design Processes Workshop - 2003
- EXTERNAL: [vhdl-200x] A compromise about modular type, boolean operations, integers...
- EXTERNAL: [vhdl-200x] Regarding Matrix Math Users' Guide proposal
- EXTERNAL: [vhdl-200x] VHDL ternary operation
- Fork/Join
- Friday's Telecon
- Fwd: [vhdl-200x] Bugzilla 289: Context declaration requirements are not uniform
- Hi
- IEEE ballot formation for P1076C
- IEEE-CS-stabilized or withdrawn older DA standards?
- Incoming Message
- Incoming Msg
- Information on Funding and WG Membership
- Interfaces with normal, conjugated and monitor flavours
- IP Protection and Encryption Donation
- Last Call for Papers - EDP 2004 - Design Process Workshop
- Meeting Schedule for DASC-Related Meetings at FDL
- Meeting?
- Modular types
- Next Meeting Dates
- Next VHDL-201X meeting
- Out of Bounds Discussion - VHDL IP encryption - key management
- Performance issues
- Posix Threads
- Read variable before WRITE
- regarding resolution function for resolved signal with single source
- Reminder: [vhdl-200x] Call for Vote on ISAC Issues 1070, 2099, 2119, 2120, 2122
- Reminder: [vhdl-200x] Prioritizing Requirements
- Reminder: VHDL Meeting @ Thu 2012-08-16 8am - 9:30am (ieee.vhdl@gmail.com)
- Request for comment: renumbering VHDL LRM clauses
- Requirements for Interfaces, Part 1
- Requirements for Interfaces, Part 2
- Result of Vote on ISAC Issues 1070, 2099, 2119, 2120, 2122
- Review of: [vhdl-200x] Revised white paper on type genericity
- S'Last_Value
- September 8 meeting & officer elections ....
- Should we merge 1164 into 1076?
- string literals in fixed point package
- TB: Execute procedure and return immediately
- TBV2: Associative arrays proposal submitted
- Tentative: [vhdl-200x] Invitation: P1076 WG Meeting July 19 @ Thu 2012-07-19 8am - 9:30am (vhdl-200x@eda.org)
- Test #2
- Text message
- Time to start up?]
- Time to start up?]]
- updated DASC membership list
- Updated Enum Attributes twiki page
- VHDL 200x request
- VHDL 200x Telecon
- VHDL LRM question
- VHDL meeting
- VHDL meetings at DAC
- VHDL Package Copyrights ... :)
- VHDL Study Group Meeting Reminder and Details
- VHDL-200x Steering Committee Meeting Tomorrow
- VHPI standard
- Vote Cancelled: VHDL + VHPI (P1076c-2006-D2.4a)]
- WebEx Registration For IEEE 1076-200x Meeting
- What's next in VHDL
- Yahoo!
- {Spam?} [vhdl-200x] Package instantiation and analysis order
- {Spam?} [vhdl-200x] Regarding Matrix Math Users' Guide
- Last message date: Thu Jan 07 2016 - 13:06:53 PST
- Archived on: Thu Jan 07 2016 - 13:07:34 PST