Re: [vhdl-200x] Boolean Equivalence


Subject: Re: [vhdl-200x] Boolean Equivalence
From: Andy D Jones (andy.d.jones@lmco.com)
Date: Fri Dec 19 2003 - 16:47:03 PST


In a word: no.

For two reasons:

1. it does nothing to address primary complaints that boolean
equivalence was never intended, and therefore never defined, for
std_logic or bit types, and for good reason: they recognized that any
such relationship is very context sensitive, and has no place in a vhdl
standard.

2. If it is going to be explicit, what's the difference between "if?
enable then" and "if enable = '1' then" (or even "if is1(enable)
then")? The only difference besides keystrokes (which we appear to be
relatively split on) relates to issue #1 above, which begs the question
"what level on that signal are you wanting?" If you don't want to deal
with signal levels, use boolean, integer or your own enumerated types,
but don't try to remove the need to deal with signal levels from
std_logic and bit.

Andy

Bailey, Stephen wrote:

>Based on Tim's recent post, would the people that have been arguing against the implicit Boolean conversion support the following (which is based on our discussions of how to exploit don't cares in case statements):
>
>Current if, while, etc. condition contexts remain unchanged.
>
>We define in the language derivatives of each of these, call them:
>
> if?
> while?
> when?
> until?
> etc.
>
>The idea is that the same expected capability is provided, but these constructs use whatever COND operator is visible to implicitly convert the top level expression into a boolean type.
>
>This makes it even more explicit that you want an implicit conversion to boolean to be applied (the conversion would still need to be declared and visible). There should be no danger of unexpected use of the implicit conversion.
>
>Would this make the proposal acceptable?
>
>------------
>Stephen Bailey
>TME, Mentor Graphic's Model Technology Group
>sbailey@model.com
>303-775-1655 (mobile, preferred)
>720-494-1202 (office)
>www.model.com
>
>
>
>



This archive was generated by hypermail 2b28 : Mon Dec 22 2003 - 20:20:21 PST