[vhdl-200x] Parallel execution of procedures within sequentiel statements

From: Stolpe Martin <Martin.Stolpe@newtec.de>
Date: Wed Feb 15 2012 - 03:19:22 PST

Hello,

I hope this is the right place to ask this question:
Is it possible to execute procedures in process in parallel? What I have in mind would be something like this:

....
procedure my_proc
  (signal din : in std_logic_vector;
   signal dout : out std_logic) is
begin
  -- procedure statements
  ...
  wait for 8 ns;
  ...
end my_proc;

signal sig1, sig2: std_logic_vector (31 downto 0);
signal data1, data2: std_logic;

begin
  ...
  simulation_proc: process
  begin
    ...
    -- the following two processes should be started in parallel
    -- begin parallel (
      my_proc(sig1, data1);
      my_proc(sig2, data2);
    -- )
    -- end parallel;
    -- end parallel block
    wait;
  end process simulation;
...

The idea behind this is that I want to start the processes like threads in other programming languages. If I write the code like this in a process at first "my_proc(sig1, data1);" would be started, then the simulator would wait 8 ns in that procedure and after that time the procedure "my_proc(sig2, data2);" would be started, where the simulator would wait for another 8 ns;

Best regards
Martin Stolpe

i.A. Martin Stolpe
Systemingenieur
R&D Smart Embedded Systems (SW)

NewTec GmbH
System-Entwicklung und Beratung
Heinrich-von-Stephan-Str. 8B
D-79100 Freiburg

Telefon  : +49 (0) 761 21117-40
Telefax  : +49 (0) 761 21117-41
email    : martin.stolpe@newtec.de
web      : http://www.newtec.de

----------------------------------------------------------------------------------------------------------
Geschäftsführer: Johannes Werbach, Harald Molle, Ulrich Schwer, Michael Tröscher
Registergericht: Memmingen - HRB 7236 USt.-IdNr. DE130850199
----------------------------------------------------------------------------------------------------------

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Feb 15 03:20:26 2012

This archive was generated by hypermail 2.1.8 : Wed Feb 15 2012 - 03:21:08 PST