RE: [vhdl-200x] Meeting Reminder: Thursday Feb 17, 8 am Pacific

From: Chris Higgs <Chris.Higgs@detica.com>
Date: Thu Feb 17 2011 - 01:24:12 PST

Hello Folks,

> Next Meeting of the VHDL study/working group meeting is
> on Thursday Feb 17 at 8 am Pacific.

Have we made any progress on a chat / web conference service to
accompany the call?

> Initiate discussion of language change requirements
> - it will expedite things if you submit a list
> for discussion

I would like to discuss the following items (please refer to earlier
posts for more detail):

    * Hierarchical library/package namespace
    * Clarification on additional language interfaces, specifically:
        * In what way is VHPI inadequate for this purpose?
        * Shouldn't we rather improve VHPI than create new interfaces?

Thanks,

Chris

Please consider the environment before printing this email.

This message should be regarded as confidential. If you have received this email in error please notify the sender and destroy it immediately.
Statements of intent shall only become binding when confirmed in hard copy by an authorised signatory. The contents of this email may relate to dealings with other companies within the Detica Limited group of companies.

Detica Limited is registered in England under No: 1337451.

Registered offices: Surrey Research Park, Guildford, Surrey, GU2 7YP, England.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Feb 17 01:24:46 2011

This archive was generated by hypermail 2.1.8 : Thu Feb 17 2011 - 01:25:15 PST