RE: [vhdl-200x] Meeting Reminder: August 11, 8 am Pacific

From: Higgs, Chris <Chris.Higgs@detica.com>
Date: Thu Aug 11 2011 - 04:25:23 PDT

Folks,

I won't be able to make the meeting today due to work commitments.

The "Recommend Error Messages" suggestion seemed to get lost in Revision
27 of "Collected Requirements". Working on the assumption that this was
unintentional I have created a skeleton proposal using the original
information.

Jim - does Twiki support revision notes on save (i.e. commit messages)?

Thanks,

Chris

-----Original Message-----
From: owner-vhdl-200x@eda.org [mailto:owner-vhdl-200x@eda.org] On Behalf
Of Jim Lewis
Sent: 09 August 2011 00:35
To: vhdl-200x@eda.org
Subject: [vhdl-200x] Meeting Reminder: August 11, 8 am Pacific

Hi,
_Next Meeting_: Thursday August 11 at 8 am Pacific Daylight Time.

_Dial-in details_:
   Dial in 1-800-637-5822
   Intl Access: +1 647-723-3937
   Passcode: 6850837

_Meeting agenda, sign-in, and request additional topics at_:
   http://www.eda-twiki.org/cgi-bin/view.cgi/P1076/MeetingWhiteboard

_Real-Time Meeting minutes for this meeting_ (if you are late)
   http://www.eda-twiki.org/cgi-bin/view.cgi/P1076/MeetingAugust11

_Meeting minutes from previous meeting_
   http://www.eda-twiki.org/cgi-bin/view.cgi/P1076/MeetingJuly28

_Action Items from July 28, Meeting_
     All: Take ownership of items in the Raw Requirements area of
Collect
          Requirements and write proposals
 
http://www.eda-twiki.org/cgi-bin/view.cgi/P1076/CollectedRequirements
     Martin and David Koontz - Ask about need for Unicode extended
identifiers
         and comments in linked in groups and comp.lang.vhdl - get
volunteers who
         would be willing to work on proposals toward this.
     Jim - revise P&P and do call for vote
     David Koontz - provide example(s) of verification problem that
cannot be
         handled without a solver - ie: by procedural randomization - in
which
         items are randomized in a specific order.
_Older Action Items_
     All: Comment on proposal formats
     John Shields: Coordinate development of requirements template
     Chris Higgs: Extend Record Introspection to include transformations
                  between composites (record, multidimensional array, or
                  array of arrays) and vectors
     Chris Higgs: Add use models to both proposals

Best,
Jim Lewis
VHDL Working Group Chair

If you are reading this on the website and wish to receive these
via email, see the following link to sign-up for the reflector:
    http://www.eda-twiki.org/vasg/index.html#Participation

-- 
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
Director of Training             mailto:Jim@SynthWorks.com
SynthWorks Design Inc.           http://www.SynthWorks.com
1-503-590-4787
Expert VHDL Training for Hardware Design and Verification
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Please consider the environment before printing this email.
 
This message should be regarded as confidential. If you have received this email in error please notify the sender and destroy it immediately.
 
Statements of intent shall only become binding when confirmed in hard copy by an authorised signatory. 
 
The contents of this email may relate to dealings with other companies under the control of BAE Systems plc details of which can be found at http://www.baesystems.com/Businesses/index.htm.
 
Detica Limited is a BAE Systems company trading as BAE Systems Detica.
Detica Limited is registered in England and Wales under No: 1337451.
Registered office: Surrey Research Park, Guildford, Surrey, GU2 7YP, England.
-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Aug 11 04:26:50 2011

This archive was generated by hypermail 2.1.8 : Thu Aug 11 2011 - 04:27:08 PDT