{Spam?} [vhdl-200x] Package instantiation and analysis order

From: <tgingold@free.fr>
Date: Thu Oct 02 2014 - 11:05:09 PDT
[ I tried to sent this mail 2 days ago, apparently
  without success.  Sorry if this is a duplicate]

Hello,

I think 13.5 Order of analysis is not complete.

According to 4.9 Package instantiation declarations:

"""
The package instantiation declaration is equivalent
to declaration of a generic-mapped package, consisting
of a package declaration and possibly a corresponding
package body.
"""

The consequence is that the unit containing the
instantiation declaration depends on the package body.
But this is not in 13.5.  Of course if the instantiation
occurs in a package declaration, only its body depends
on the body of the uninstantiated package.

That's why I think 13.5 should be completed.

But this rule turns to be more complex for subprogram
instantiation declaration: if the uninstantiated
subprogram is declared in a package declaration, then
the unit that instantiates the subprogram may depend
on the package body.  Again, this is a consequence of
the subprogram instantiation equivalence and again
13.5 should be completed.

Comments are welcome.

Tristan.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Oct 2 11:05:54 2014

This archive was generated by hypermail 2.1.8 : Thu Oct 02 2014 - 11:06:07 PDT