TWiki> P1076 Web>VHDL2017 (revision 6)EditAttach

VHDL-2019

Table of Content:


Approved LCS Documents

LCS Description Examples
LCS-2016-001 Partially Connected Vectors on Port Map Example
LCS-2016-002 Allow access and protected type parameters on function interfaces  
LCS-2016-004 Allow PT methods with AT and FT parms  
LCS-2016-006a File IO / Textio updates  
LCS-2016-006c File IO / Directory I/O  
LCS-2016-006e Allow access to system environment variables (baseline) Example
LCS-2016-006f Expose standard conditional analysis identifiers  
LCS-2016-007 Sequential Declaration Regions Example
LCS-2016-011 Date/Time functions See LCS
LCS-2016-012 'IMAGE and TO_STRING for composite types  
LCS-2016-014 Composites of Protected Types  
LCS-2016-014a Pointers to Composites of Protected Types  
LCS-2016-015 Report Calling Path  
LCS-2016-015a ReportCallingPath - Current File and Line by function  
LCS-2016-016 Anonymous Types  
LCS-2016-018 Attributes for Enumerated Types Examples
LCS-2016-018a New attributes - 'index  
LCS-2016-018d New attributes - 'designated_type  
LCS-2016-019 Inferring Constraints from Initial Values for Signals and Variables  
LCS-2016-023 Relax Library Requirement on Configurations  
LCS-2016-026c LongIntegers See LCS
LCS-2016-030 Garbage Collection  
LCS-2016-032 PATH_NAME and Shared Variables and Subprograms  
LCS-2016-033 Composition with Protected Types  
LCS-2016-034 Protected Types with Generic Clause  
LCS-2016-036a Allow for conditional expressions in a declaration (baseline)  
LCS-2016-041 Record Introspection / Type Reflection Examples
LCS-2016-043 Attributes for PSL  
LCS-2016-045a Interface - defines new mode view construct for composite interface objects  
LCS-2016-045c Interface - 'CONVERSE for a mode view  
LCS-2016-047 Protected Type: Shared Variables On Entity Interface  
LCS-2016-049 Map Generics On Subprogram Call  
LCS-2016-050 API for Assert  
LCS-2016-055a Syntax regularization - component declarations  
LCS-2016-059 Array Type Generics  
LCS-2016-059a Allow ordering on any scalar array - related to Array Type Generics  
LCS-2016-061 Conditional compilation  
LCS-2016-071a Extra optional semicolon at the end of interface_list  
LCS-2016-072b Function Knows Return Vector Size  
LCS-2016-075 Closely related record types  
LCS-2016-082 Syntax regularization: empty records  
LCS-2016-086 All Interface Lists Can Be Ordered  
LCS-2016-094a Conditional Return Statement  
LCS-2016-099 Extended Ranges Examples
LCS ISAC ISSUE  
LCS-2016-PSL_main PSL Harmonization - keywords  
LCS-2016-PSL PSL Harmonization - change 2005 to 2010  
LCS_2016_1735_Encrypt Encryption Updates for 1735  
LCS-2016-I03 Signatures for formal generic subprograms in a generic association lists  
LCS-2016-I04 Enhanced Generics In Attribute Specs  
LCS-2016-I05 Repair example in Section 14.2  
LCS-2016-I06 Repair example in Section 7.3.2.1  
LCS-2016-I08 Repair LRM Section 16.8.2.4.3 Missing Paragraph Text  
LCS-2016-I09 Repair example in Section 5.6.3  
LCS-2016-I10 Bit String Literals Corner Cases  
LCS-2016-I11 Fix Generate Statement  
LCS-2016-I12 Forcing Out Ports  
LCS-2016-I13 Precedence of Unary Operators  
LCS-2016-I14 Strings from embedded identifiers  
LCS-2016-I16 Repair Example in Section 23.21  
LCS-2016-IEEEStdUpdates Updating IEEE Standard references  
LCS-2016-I17 LRM2008 Typographical Issues  

LCS Removed during balloting

LCS Description Examples
LCS-2016-007a Sequential Declaration Regions - corresponding short form  
LCS-2016-028 Selected names for types - implementation of external names for types  
LCS-2016-070 Bidirectional Connections  

LCS Documents Deferred to a future revision

LCS Proposal Note
LCS-2016-006b DREAD, DWRITE, Integer D, H, O, B Read and Write Not finished before deadline
LCS-2016-006g Allow access to system environment variables (explorable) Not enough votes
LCS-2016-014b 'access attribute Needs more reasoning
LCS-2016-015b ReportCallingPath - Current File and Line by attributes Not enough votes
LCS-2016-051 Additional Operators to Integers Not enough votes
LCS-2016-069a Record Introspection & Indexing Not finished before deadline
LCS-2016-069b Record Introspection & Indexing Not finished before deadline
LCS-2016-070a Bidirectional Connections - signal map aspect Not finished before deadline
LCS-2016-071b Extra comma at the end of lists Not enough votes
LCS-2016-072a Accessing scalar attributes from within a function Too many NO votes
LCS-2016-080a Deferred Shared Variables Not finished before deadline
LCS-2016-080b Deferred Shared Variables Not finished before deadline
LCS-2016-099a Extension to LCS 099 Needs more reasoning
LCS ISAC ISSUE Note
LCS-2016-I07 Fix 2008 Context Clause Rejected to allow a later improvement
LCS-2016-I15 Generate Statement Alternate Path Names  
LCS-2016-I15a Generate Statement Alternate Path Names - Dissenting Opinion  

Rejected and/or Subsumed LCS Documents

LCS Proposal Note
LCS-2016-002a Allow access and protected type parameters on function interfaces - strict Elected version is now LCS-2016-002
LCS-2016-002b Allow access and protected type parameters on function interfaces - Laisse Faire Elected version is now LCS-2016-002
LCS-2016-002c Allow access and protected type parameters on function interfaces - Less strict Elected version is now LCS-2016-002
LCS-2016-006d Environment variables and conditional analysis identifier API Alternative to LCS-2016-006e/f/g
LCS-2016-018b Attribute shorthands Merged into LCS-2016-018
LCS-2016-018c subsumed by LCS-2016-018 Merged into LCS-2016-018
LCS-2016-026 Long Integers - 512 bit Elected version is LCS-2016-026c
LCS-2016-026a Long Integers - 64 bit integer and subtypes Elected version is LCS-2016-026c
LCS-2016-026b Long Integers - 64 bit integer and 32 bit integer Elected version is LCS-2016-026c
LCS-2016-028a Anonymous types for external names - implementation of external names for types Elected version is LCS-2016-028
LCS-2016-036 superseded by LCS-2016-036a Superseded by LCS-2016-036a
LCS-2016-036b Allow for conditional expressions in a declaration (cond. attributes) Not enough votes
LCS-2016-055 Syntax regularization - end Not enough votes
LCS-2016-060 New Predefined Attributes: 'actual, and 'formal Not working / concept study
LCS-2016-063 Wait Level - Signal Expressions in Signal Parameter Map Not enough votes
LCS-2016-072 Function Knows Return Vector Size Elected version is LCS-2016-072b
LCS-2016-072c Function Knows Return Vector Size - a rev to LCS-2016-072 that addresses issues Elected version is LCS-2016-072b
LCS-2016-094 Conditional Return Statement Elected version is LCS-2016-094a
LCS ISAC ISSUE Note
LCS-2016-I18 The Sensitivity List for Process(all) Should Not Include Signals in All Reachable Subprograms  

Proposals deferred to a future revision

Will be listed soon ...

Edit | Attach | Print version | History: r9 < r8 < r7 < r6 < r5 | Backlinks | Raw View | Raw edit | More topic actions...
Topic revision: r1 - 2021-03-09 - 01:06:21 - TWikiGuest
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback