Recent Changes in P1076 Web retrieved at 23:45 (GMT)

P1076 December 15, 2011 Meeting Minutes Attendees: JimLewis DavidBishop PeterFlake JarekKaczynski RyanHinton MartinThompson Agenda: Approve...
P1076 December 1, 2011 Meeting Minutes Unapproved Attendees: To be held Agenda: To be held Whiteboard for Current Agenda Topic 1 stuff Topic...
P1076 August 25, 2011 Meeting Minutes Attendees: MartinThompson ChuckSwart JimLewis DavidKoontz JarekKaczynski PeterFlake ChrisHiggs JohnShields...
P1076 August 11, 2011 Meeting Minutes Attendees: By signing in, I also indicate that I have reviewed the IEEE patent policy and have made any required disclosures...
P1076 April 28, 2011 Meeting Minutes Unapproved Attendees: MartinThompson DavidKoontz JimLewis DavidBishop JohnShields JarekKaczynski...
P1076 September 7, 2017 Meeting Minutes Attendees: Lieven Lemiengre, Patrick Lehmann, Jim Lewis Meeting Discussion Draft 4 review? Patrick and Jim...
P1076 May 4, 2017 Meeting Minutes Attendees: TBD Agenda: Meeting Discussion Track progress of LRM getting ready for balloting. Rob suggests that...
P1076 May 11, 2017 Meeting Minutes Attendees: TBD Agenda: Meeting Discussion Still waiting on editing of LRM Meeting with IEEE staff on April...
P1076 March 9, 2017 Meeting Minutes Attendees: Peter Flake, Kevin Jennings, Jim Lewis, Brent Hayhoe, Patrick Lehmann, Jing Pang Agenda: Meeting Discussion...
P1076 March 30, 2017 Meeting Minutes Attendees: Rob Gaddi, Patrick Lehmann, Lieven Lemiengre, Jim Lewis Agenda: Meeting Discussion Work through list...
P1076 March 23, 2017 Meeting Minutes Attendees: Rob Gaddi, Patrick Lehmann, Lieven Lemiengre, Jim Lewis, Kevin Jennings, Jing Pang Agenda: Meeting Discussion...
P1076 March 21, 2017 Meeting Minutes Attendees: Rob Gaddi, Patrick Lehmann, Jim Lewis Agenda: Meeting Discussion Discussed LCS 72 Approve LCS:...
P1076 March 2, 2017 Meeting Minutes Attendees: Rob Gaddi, Jim Lewis, Peter Flake, Lieven Lemiengre, Brent Hayhoe, Kevin Jennings, Patrick Lehmann, Jing Pang...
P1076 March 16, 2017 Meeting Minutes Attendees: Peter Flake, Rob Gaddi, Jim Lewis, Brent Hayhoe, Agenda: Meeting Discussion Target goal finish LCS`s...
P1076 June 8, 2017 Meeting Minutes Attendees: Patrick Lehmann, Jim Lewis Agenda: Expecting PDF of updated LRM on Friday or Saturday Meeting Discussion...
P1076 June 29, 2017 Meeting Minutes Attendees: Rob Gaddi, Jim Lewis Meeting Discussion John found a reference to 854 in the standard. We may want to do...
P1076 June 22, 2017 Meeting Minutes Attendees: Patrick Lehmann, Rob Gaddi, Jim Lewis Meeting Discussion LCS 41: Defined logical numeric ordering of accessing...
P1076 June 15, 2017 Meeting Minutes Attendees: Patrick Lehmann, Rob Gaddi, Karl Eisenhofer Agenda: Waiting for the PDF ... Waiting for IEEE SA to...
P1076 June 1, 2017 Meeting Minutes Attendees: John Willis, Karl Eisenhofer, Lieven Lemiengre, Patrick Lehmann, Peter Flake, Rob Gaddi, Jim Lewis Meeting Discussion...
P1076 July 20, 2017 Meeting Minutes Attendees: Rob Gaddi, Patrick Lehmann, Jim Lewis Meeting Discussion Discussed LCS 7a issue wrt: https://gitlab.com...
P1076 January 5, 2017 Meeting Minutes Attendees: Brent Hayhoe, Kevin Jennings, Farrell Ostler, Patrick Lehmann, Peter Flake, Rob Gaddi, Ryan Hinton Agenda...
P1076 January 26, 2017 Meeting Minutes Attendees: Brent Hayhoe, Lieven Lemiengre, Patrick Lehmann, Peter Flake, Rob Gaddi, Jim Lewis, Jing Pang, Kevin Jennings...
P1076 January 19, 2017 Meeting Minutes Attendees: Patrick Lehmann, Rob Gaddi, Lieven Lemiengre, Brent Hayhoe, Jim Lewis, Kevin Jennings Agenda: Meeting Discussion...
P1076 January 12, 2017 Meeting Minutes Attendees: Patrick Lehmann, Lars Asplund, Rob Gaddi, Brent Hayhoe, Kevin Jennings, Jim Lewis Agenda: Meeting Discussion...
P1076 February 9, 2017 Meeting Minutes Attendees: Kevin Jennings, Peter Flake, Rob Gaddi, Brent Hayhoe, Jim Lewis Agenda: Meeting Discussion Work through...
P1076 February 23, 2017 Meeting Minutes Attendees: Lieven Lemiengre, Patrick Lehmann, Peter Flake, Rob Gaddi, Jim Lewis Agenda: Meeting Discussion Work...
P1076 February 2, 2017 Meeting Minutes Attendees: Brent Hayhoe, Patrick Lehmann, Peter Flake, Jim Lewis, Rob Gaddi Agenda: Meeting Discussion LCS Review...
P1076 February 16, 2017 Meeting Minutes Attendees: Rob Gaddi, Brent Hayhoe, Lieven Lemiengre, Jim Lewis, Peter Flake, Farrell Ostler, Kevin Jennings, Patrick...
P1076 August 31, 2017 Meeting Minutes Attendees: Patrick Lehmann, Lieven Lemiengre, Peter Flake, Jim Lewis Meeting Discussion Draft 4 review in process...
P1076 August 3, 2017 Meeting Minutes Attendees: Patrick Lehmann, Lieven Lemiengre, Peter Flake, Karl Eisenhofer, Jim Lewis Meeting Discussion Draft 3A...
P1076 April 6, 2017 Meeting Minutes Attendees: Patrick Lehmann, Lieven Lemiengre, Rob Gaddi, Jim Lewis Agenda: Meeting Discussion Releasing IEEE packages...
P1076 April 27, 2017 Meeting Minutes Attendees: Rob Gaddi, Patrick Lehmann, Jim Lewis Agenda: Meeting Discussion Reviewed pending GitLab code updates...
P1076 April 20, 2017 Meeting Minutes Attendees: Rob Gaddi, Patrick Lehmann, Jim Lewis, Jing Pang Agenda: Meeting Discussion Check in make sure we are...
P1076 April 13, 2017 Meeting Minutes Attendees: Rob Gaddi, Lieven Lemiengre, Peter Flake, Jim Lewis, Patrick Lehmann. Agenda: Meeting Discussion What...
P1076 Wednesday September 7, 2016 Meeting Minutes Attendees: Patrick Lehmann, Peter Flake, Kevin Jennings, Jim Lewis Agenda: Meeting Discussion Need...
P1076 September 29, 2016 Meeting Minutes Attendees: Brent Hayhoe, Lieven Lemiengre, Rob Gaddi, Peter Flake, Jim Lewis, Jing Pang, Agenda: Meeting Discussion...
P1076 September 22, 2016 Meeting Minutes Attendees: Brent Hayhoe, Lieven Lemiengre, Patrick Lehmann, Peter Flake, Jim Lewis, Kevin Jennings, Jing Pang Agenda...
P1076 September 15, 2016 Meeting Minutes Attendees: Brent Hayhoe, Patrick Lehmann, Rob Gaddi, Jim Lewis, Lieven Lemiengre, Kevin Jennings, Peter Flake, Jing...
P1076 September 1, 2016 Meeting Minutes Attendees: Brent Hayhoe, Kevin Jennings, Peter Flake, Rob Gaddi, Jim Lewis, Patrick Lehmann, Jing Pang Agenda: Meeting...
P1076 October 6, 2016 Meeting Minutes Attendees: Brent Hayhoe, Lieven Lemiengre, Kevin Jennings, Patrick Lehmann, Rob Gaddi, Jim Lewis, Peter Flake, Jing Pang...
P1076 October 20, 2016 Meeting Minutes Attendees: Rob Gaddi, Peter Flake, Brent Hayhoe, Jim Lewis, Patrick Lehmann, Kevin Jennings Agenda: Meeting Discussion...
P1076 October 13, 2016 Meeting Minutes Attendees: Peter Flake, Dave Bishop, Kevin Jennings, Rob Gaddi, Patrick Lehmann, Lieven Lemiengre, Jim Lewis, Brent Hayhoe...
P1076 November 3, 2016 Meeting Minutes Attendees: Kevin Jennings, Jim Lewis, Jing Pang, Peter Flake, Rob Gaddi, Brent Hayhoe, Lieven Lemiengre Agenda: Meeting...
P1076 November 24, 2016 Meeting Minutes Attendees: Patrick Lehmann, Peter Flake, Brent Hayhoe, Jim Lewis Agenda: Meeting Discussion LCS Review...
P1076 November 17, 2016 Meeting Minutes Attendees: Kevin Jennings, Patrick Lehmann, Rob Gaddi, Jim Lewis, Jing Pang Agenda: Meeting Discussion LCS Review...
! Set ALLOWTOPICCHANGE P1076AdminGroup P1076 November 10, 2016 Meeting Minutes Attendees: Rob Gaddi, Peter Flake, Patrick Lehmann, Brent Hayhoe, Jim Lewis...
P1076 May 5, 2016 Meeting Minutes Attendees: Brent Hayhoe, Rob Gaddi, Jim Lewis, Ernst Christen, Jing Pang Agenda: Meeting Discussion Review PrivateDocuments...
P1076 May 26, 2016 Meeting Minutes Attendees: Brent Hayhoe, Lieven Lemiengre, Patrick Lehmann, Jim Lewis, Peter Flake, Jing Pang, Kevin Jennings Agenda:...
! Set ALLOWTOPICCHANGE P1076AdminGroup P1076 May 19, 2016 Meeting Minutes Attendees: Peter Flake, Brent Hayhoe, Lieven Lemiengre, Rob Gaddi, Jim Lewis...
P1076 March 31, 2016 Meeting Minutes Attendees: Rob Gaddi, Patrick Lehmann, Jim Lewis, Lieven Lemiengre, Ernst Christen, Brent Hayhoe Agenda: Meeting Discussion...

«Previous   1  2  3  4  5  6  7  8  9  10  11  12  13  14   Next»

Show 10, 20, 50, 100, 500, 1000 results per page, or show all.

Related topics: RSS feed, rounded corners RSS feed, ATOM feed, WebNotify, site changes, site map

Topic revision: r1 - 2006-11-15 - 19:43:52 - TWikiContributor
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback