Minutes of SV-AC Meeting

Date: 2011-05-31

Time: 16:00 UTC (9:00 PDT)

Duration: 1.5 hours

Dial-in information:


Meeting ID: 38198

Live Meeting: https://webjoin.intel.com/?passcode=7581444

Agenda:


- Reminder of IEEE patent policy.

See: http://standards.ieee.org/board/pat/pat-slideset.ppt

- Minutes approval

- Email ballot results

Issue 2556 passed 6y/0n/0a with friendly amendments Issue 3295 passed 6y/0n/0a.

Issues 3069 and 3213 failed.

- New issues

- Issue resolution/discussion

3552:Sequence methods // .triggered need further clarification

3033: Allow procedural control statements is checkers

- Enhancement progress update

- Mantis status

- Opens

Clocking blocks.

Next meeting

Attendance Record:


Legend:

x = attended

- = missed

r = represented

. = not yet a member

v = valid voter (2 out of last 3 or 3/4 overall)

n = not a valid voter

t = chair eligible to vote only to make or break a tie

Attendance re-initialized on 2010-07-06:

n[x---xxxx-xxx-xxx...........................] Ashok Bhatt (Cadence)

v[xxxxx-xxxxxxxxxx-xxx-xxxxxxxxx-x-xxxxx--xxx] Laurence Bisht (Intel)

v[xxxxx-xxxxxxxxx-xxxxxxxxxxxx-xxxxxxxxxxxxx-] Eduard Cerny (Synopsys)

v[xxx--------xx---xxx--x-xxxxxxx-xxxxx-xxxxxx] Ben Cohen (Accellera)

n[------------------------xx-x-xxx-x--xxxxxxx] Surrendra Dudani

(Synopsys)

v[xxx........................................] Shaun Feng (Freescale)

n[--x-x-xxxx-x-x----x-x-x--xx---xxxx---x-xxxx] Dana Fisman (Synopsys)

n[----------------------xxxxx-xxxx-x-xxxxxxxx] John Havlicek (Freescale)

v[x-xx-xxxx-xxxxxxxxxxxxxxxx-xxx-xxxxxxxxxxxx] Tapan Kapoor (Cadence)

v[xxxx-xxxx-x-x..............................] Jacob Katz (Intel)

t[xx-xxxxxxxxxxxxxxxxxxxxxxx--xxxxxxxxxxxxxxx] Dmitry Korchemny (Intel ¿ Chair)

v[xxxxx-xxxx-xxxxxxxxxxxxxxx--xxxxxx-xxxxxxxx] Scott Little (Freescale)

v[xxxxxxx-xxxxxxxxxxxxxxxxx-xxxxxxxxx-xxxxxxx] Manisha Kulshrestha (Mentor Graphics)

v[xxxxxxxxxxxxxxxxxxxxxxx-xxxxxxxxxxxxxxxxxxx] Anupam Prabhakar (Mentor Graphics)

v[-xxx-xxxx-xxx-xxx--x-xx-xxx-xx--xxxxxxx-xxx] Erik Seligman (Intel)

v[xxxxxxxxxx-x-xxx-xxxx-xxxx--xxxxxx-xxxxxxx.] Samik Sengupta (Synopsys)

v[xxxxxxx-xxxxxxxxxxxxxxxxx-xxxxxxxxxxxxx-xxx] Tom Thatcher (Oracle ¿

Co-Chair)

n[-------xx---xx-------x.....................] Srini Venkataramanan (CVC Pvt Ltd)

|- attendance on 2011-05-31

|--- voting eligibility on 2011-05-31

Minutes


- Reminder of IEEE patent policy.

See: http://standards.ieee.org/board/pat/pat-slideset.ppt

Participants were reminded of the IEEE patent policy.

- Minutes approval

Scott: Move to approve minutes

Ben: Second

Vote results: 11y, 0n, 0a

- Next Meeting

Dmitry: Next week is a holiday in Israel. Will skip next meeting.

Next meeting will be June 14.

No objections.

Email ballot results

Issue 2556 passed 6y/0n/0a with friendly amendments Issue 3295 passed 6y/0n/0a.

Issues 3069 and 3213 failed.

2556: Friendly amendment: Tom

Tom: Original proposal did not specify where in section A.9.3 the new

line would be added.

New proposal solves that.

Tom: Move go approve 2556.

Jacob: Second

Vote Results: 11y, 0n, 0a

3069:

Manisha: Requested change to note on backward-incompatibility.

Modified proposal has done that.

Scott: Some language was ambiguous. Working on further feedback on the

proposal. Scott and Jacob will work on this.

3213:

Manisha: Deleted section 16.6.2. Some of it is unclear, but some of it

does have useful clarifications. Should update section rather

than just delete it.

Scott: Is it possible for assertions to reference automatic variable when

it doesn't exist?

Manisha: Assertions can reference variable via Const cast.

Anupam: What about expressions like the following:

$past(z[i]) where i is an automatic variable.

This would be equivalent to $past( z[$past(i)] ),

which would be illegal.

What we really want in this context is the current value of i to

index the array.

Dmitry: The last sentence in 16.6.2 is the important one. Where would we

keep it?

Dmitry: Will keep deletion of 16.6.2, but will move last sentence to another

place.

Anupam: For automatic variables, should you talk about default sampled

values, rather than initial sampled values?

Scott: That's a good change.

- Issue resolution/discussion

3552:Sequence methods // .triggered need further clarification

Ben: Just adding an example of bad usage.

always @(s1.triggered)

Should cause two events. One in time step when it is set,

one in the next time step. (Because s1.triggered returs to 0)

Anupam: Should this even be allowed?

Scott: LRM should be clarified.

Ben: Some vendors treating this as

always @(s1) (where s1 is a sequqence)

Ben: How to clarify:

a. example to say bad usage?

b. dis-allow this usage?

c. Define this as same as always @(s1)?

Ben: What about other examples of bad usage?

Tom: The example doesn't say whether this is an always block in a

module, or if it is an always block in a checker.

There would be different results in a checker.

In a module the always block executes in Active region

In a checker the always block executes in the Reactive region

Dmitry: Recommend filing a new Mantis item for SV-BC.

Ben: Will file a Mantis item.

Next meeting will be in two weeks.

Meeting adjourned.

Topic revision: r1 - 2011-06-20 - 22:20:40 - ErikSeligman
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback