P1076 Minutes from July 16, 2020

Attendees

  • Jim Lewis, Peter Flake, Patrick Lehmann, Unai Martinez-Corral, Lieven

Meeting Discussion

  • DPI
    • Peter and Unai exchanged ideas on DPI.
    • Need to engage simulator mechanics on this topic.
    • Also interest in defining interface between VHDL and Verilog/SV

  • Issues
    • How to handle ISAC like issues?
    • Start with GitLab.com - LRM (WG) / Packages (WG) / ISSUES (Public)

  • Can we get a IEEE 1076 GMAIL account with Google Meet?

  • Library release plans
    • Working group approved releases
    • Standard balloted releases
    • Frequency? Yearly or Every other Year?

Next Meeting

Thursday July 30, 2020, 11 am Pacific Daylight Time (GMT-7)

  • Next Revision
    • Get a list of requests
    • Vote on list of requests
    • Write proposals with use models
    • Write Language change specifications

Comments

Topic revision: r1 - 2020-07-16 - 19:32:00 - JimLewis
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback