Allow the use of Unicode

Proposal Details

  • Who Updates: MartinThompson
  • Date Proposed:8 Aug 2011
  • Date Last Updated: 8 Aug 2011
  • Priority: Low
  • Complexity: High
  • Focus: Language

Current Situation

The current language allows the use of characters from the ISO 8859-1 character set, which allows accented versions of ASCII characters but little beyond that.

Requirement

To allow the use of Unicode characters in the following places:

  • identifiers
  • comments
  • strings
  • file access

Feedback on the value of this propsal has been sought from the VHDL community via

A summary of the comments is below in the arguments for and against.

Is there any reason to use or not use UTF-8? It would be difficult to explicitly write the enumerated type, but it's relatively efficient, unambiguous, and 7-bit ASCII is unchanged. That might break the extended ASCII encoding I see in the current CHARACTER type, though. -- RyanHinton - 2012-02-16

Implementation details

Not provided

Code Examples

Not provided

Use Cases

Not provided

Arguments FOR

It looks like a nice feature, but personally I dont think I will be needing it. But there are many countries where only their native language is spoken. they are having a hard time with english and this feature will definitely help them.



Most modern computer languages allow the full range of unicode to be used. It would only be a mature thing to do if VHDL also allowed this. The fact that we only allow ASCII puts us right in the 1980s.



Unicode strings and file-IO might well be useful, but I guess that's a very different story [to identifiers]. A new type, either built-in or in std.standard, for Unicode characters would be a good start.



I haven't ever had the need to use Unicode in VHDL identifiers -just in strings (or comments) when I want to print units (Ohm) or prefixes (micro), or non-breakable space etc. for documentation generation.

Arguments AGAINST

Do we need a new datatype (perhaps 16 bits wide) for UTF characters? Will we provide an enumerated datatype for these characters (16 bits - I hope not!). If we need a new datatype (utf_character and utf_string), would that imply new I/O functions as well?

You also need to think of the source file encoding. The compiler should be well aware of the encoding of your VHDL source files. Which encodings will you support? Next, all the back-end EDA tools will have to support UTF characters.


>it also sounds like a large (huge?) amount of work which may be better spent elsewhere.

...agree. The EDA industry as a whole is irremediably Anglophone, and muddles through pretty well without internationalization.


... that Unicode support in actual source code (such as identifiers) was added to Ada and one of the compiler developers which added this support remarked that it was not worth the hassle.


General Comments

Given the technical problems and the fact that there is not such a strong need, I’d suggest against unicode for VHDL. Perhaps you should choose another battle to improve VHDL and leave unicode as something that cannot be fixed.

Overall the sentiment seems to be "it'd be useful, but a lot of work for comparatively small gain". No-one expressed the opinion that this could be a killer feature.

Supporters

Add your signature here to indicate your support for the proposal

Edit | Attach | Print version | History: r4 < r3 < r2 < r1 | Backlinks | Raw View | Raw edit | More topic actions...
Topic revision: r1 - 2020-02-17 - 15:34:44 - TWikiGuest
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback