P1076 May 14, 2015 Meeting Minutes

Attendees:

* Main.LievenLemiengre * Main.JimLewis * BrentHayhoe * Main.ErnstChristen * Main.DanielKho

Agenda:

Meeting Agenda

  • Review Interfaces
  • Interface Proposals
  • Interface Requirements
    • Used on entity interface or subprogram interface
    • Support composite signals of some sort
    • ?Support composite signals with unconstrained elements (similar to ports)?
    • ?Support existing records?
    • VHDL AMS (terminals, quantities)
    • ?Shared Variables/ protected types?
    • ?Support generics? Proposal to add generics to protected types
    • Support composition of interfaces - an interface can be made up of other interfaces
    • Issues with parsing: MyIfPort : MyIfMode [resolution function] MyIfType ;
      • Can this be addressed with syntax of some sort: MyIfPort : <MyIfMode> MyIfType ;
    • What kind of object is an interface? Signal?
    • Composition directly on an interface
    • Decomposition of elements and type/class of object
    • Support conjugate and monitor
      • modport slave is master'conjugate ;
    • Bidirectional connection support with multiple masters
    • Simple enough for basic stuff, but also support advanced
    • Can an interface be in an expression. Can we assign to a subset of elements of an interface?
    • ?Brent: Interface separate section? - with generic map and port map internally
    • Lieven: an interface looks alot like a component - however a component has specific direction
      • Look at old OO docs that uses Entities as the basis for OO
      • To be interface like would need some direction control adaptability

  • Next Steps
    • Review Requirements here
    • Review Referenced proposals (OO, ...)

Review and Approve Meeting Minutes:

  • Motion: Brent 2nd:Ernst

Next Meeting: Thursday May 28, 2015 11 am Pacific

Previous Meeting: Thursday April 30, 2015

Edit | Attach | Print version | History: r4 < r3 < r2 < r1 | Backlinks | Raw View | Raw edit | More topic actions...
Topic revision: r1 - 2020-02-17 - 15:36:15 - TWikiGuest
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback