Minutes of SV-AC Meeting

Date: 2011-08-09

Time: 16:00 UTC (9:00 PDT)

Duration: 2 hours

Dial-in information:


Meeting ID: 38198

Phone Number(s):

1-888-813-5316 Toll Free within North America

Live Meeting:

https://webjoin.intel.com/?passcode=6847141

Agenda:


- Reminder of IEEE patent policy.

See: http://standards.ieee.org/board/pat/pat-slideset.ppt

- Minutes approval

- Email ballot results:

Issue 2578 passed with friendly amendments. One negative vote sent late.

Issue 3033 failed: 5y/0n/2a. . One negative vote sent late.

- Draft2 review

- New issues

- Champions¿ feedback

3069 Relax rules for $global_clock resolution

3015 Examples of $fatal have bad arguments

3113 Add port_identifier to constant_primary BNF for sequences,

properties and checkers

2476 Need clarification about system functions $onehot, etc

3385 Possible ambiguity when deferred assertion action block calls

nested function

- Issue resolution/discussion

2328: Review and relax restrictions on data types in assertions

3206: Deferred assertions are sensitive to glitches

3295: need a way to control only asserts/covers/assume directives

- Opens

Attendance Record:


Legend:

x = attended

- = missed

r = represented

. = not yet a member

v = valid voter (2 out of last 3 or 3/4 overall)

n = not a valid voter

t = chair eligible to vote only to make or break a tie

Attendance re-initialized on 2010-07-06:

v[-xxx--xxx---xxxx-xxx-xxx...........................] Ashok Bhatt

(Cadence)

v[x--xxx-xxxxxx-xxxxxxxxxx-xxx-xxxxxxxxx-x-xxxxx--xxx] Laurence Bisht

(Intel)

v[xxxxxxxxxxxxx-xxxxxxxxx-xxxxxxxxxxxx-xxxxxxxxxxxxx-] Eduard Cerny

(Synopsys)

v[xxxxxxxxxxx--------xx---xxx--x-xxxxxxx-xxxxx-xxxxxx] Ben Cohen

(Accellera)

n[--------------------------------xx-x-xxx-x--xxxxxxx] Surrendra Dudani (Synopsys)

n[---x-xxxxxx........................................] Shaun Feng

(Freescale)

n[-----x-x--x-x-xxxx-x-x----x-x-x--xx---xxxx---x-xxxx] Dana Fisman

(Synopsys)

n[------------------------------xxxxx-xxxx-x-xxxxxxxx] John Havlicek

(Freescale)

v[x---x-xxx-xx-xxxx-xxxxxxxxxxxxxxxx-xxx-xxxxxxxxxxxx] Tapan Kapoor

(Cadence)

n[--x-xx-xxxxx-xxxx-x-x..............................] Jacob Katz (Intel)

t[xxxxx--xxx-xxxxxxxxxxxxxxxxxxxxxxx--xxxxxxxxxxxxxxx] Dmitry Korchemny (Intel ¿ Chair)

v[xxxxxxx-xxxxx-xxxx-xxxxxxxxxxxxxxx--xxxxxx-xxxxxxxx] Scott Little

(Freescale)

v[xxxxxxxxxxxxxxx-xxxxxxxxxxxxxxxxx-xxxxxxxxx-xxxxxxx] Manisha Kulshrestha (Mentor Graphics)

v[xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx-xxxxxxxxxxxxxxxxxxx] Anupam Prabhakar (Mentor Graphics)

v[xxxxxxx--xxx-xxxx-xxx-xxx--x-xx-xxx-xx--xxxxxxx-xxx] Erik Seligman

(Intel)

v[xx-xxxx-xxxxxxxxxx-x-xxx-xxxx-xxxx--xxxxxx-xxxxxxx.] Samik Sengupta

(Synopsys)

v[x-xxxxxxxxxxxxx-xxxxxxxxxxxxxxxxx-xxxxxxxxxxxxx-xxx] Tom Thatcher (Oracle ¿ Co-Chair)

n[---------------xx---xx-------x.....................] Srini Venkataramanan (CVC Pvt Ltd)

n[-----x.............................................] Vibarajan Viswanathan (Marvell)

|- attendance on 2011-08-09

|--- voting eligibility on 2011-08-09

Minutes


- Reminder of IEEE patent policy.

See: http://standards.ieee.org/board/pat/pat-slideset.ppt

Participants were reminded of the IEEE patent policy.

- Minutes approval

Ben: Move to approve minutes

Erik: Second

Vote results: 10y, 0n, 0a

- Email ballot results:

Issue 2578 passed with friendly amendments. One negative vote sent late.

Issue 3033 failed: 5y/0n/2a. . One negative vote sent late.

5 positive votes, but not a quorum.

3033:

Comment by Erik:

Would deferred assertion in a checker be illegal if the checker is

instantiated in a procedure? That's because definition of stand-

alone deferred assertion is treated as if it is inside an always_comb.

Dmitry: will change language of proposal to allow this.

Comment by Anupam: Should you use const'(rst) in example.

Otherwise the example doesn't make sense.

Tom: Should change definition so that any signals appearing in a

sensitivity list get current values throughout the procedure

Dmitry: Will make changes, upload, and call to vote again.

- Draft2 review

Dmitry: Reminder to all to review their sections of Draft 2.

- Champions' feedback

3069 Relax rules for $global_clock resolution

Dmitry: Will send e-mail to other committees asking for review.

3015 Examples of $fatal have bad arguments

Dmitry: Will send to SV-BC for review.

3113 Add port_identifier to constant_primary BNF for sequences,

properties and checkers

Erik: Motivation should add justification of changing the syntax.

Lawrence: will update the proposal. Dmitry will call for a vote.

2476 Need clarification about system functions $onehot, etc

Erik: Will change language to specify what the function formal argument

types are, not what actual types are required.

Then, language casting rules will apply if user supplies wrong type

for argument

3385 Possible ambiguity when deferred assertion action block calls

nested function

Erik: Only friendly amendments. Will make changes and upload.

- Issue resolution/discussion

2328: Review and relax restrictions on data types in assertions

Manisha: event.triggered() It's currently dis-allowed. Do we gain

anything by allowing it?

Does it even make sense to pass event.triggered() to $past()

According to Dmitry's proposal, sequence.triggered() is sampled in

postponed region. When does event.triggered() value return to zero.

in the postponed region?

Scott: So essentially, event.triggered() should be handled the same way as

sequence.triggered()

Scott: Is sampling well defined for events?

Define it as the sampled value of the item the event is pointing to?

Dmitry: Current proposal says events are not sampled.

Scott: Will make changes. Dmitry will call to vote if available tomorrow.

Otherwise, Manisha and Erik will review.

Scott: Do we need to include special language on what happens when an

assertion references an invalid array index?

Or do we rely on the other language in the LRM?

Scott: Will rely on other LRM language.

Manisha: Also, c-handles cannot be used in sensitivity lists.

Scott: Just define sampled value of c-handle to be the current value?

Dmitry: Dis-allow c-handles in assertions.

(Erik Left)

3295: need a way to control only asserts/covers/assume directives

Manisha: Changed "list_of_modules" to "list_of_scopes"

Ed: Is "scope" defined elsewhere? If so, remove definition.

2576: Vacuity Definition

Ben: Have uploaded a modified proposal

Tom: Move to accept proposal

Scott: Second

Vote results: 9y, 0n, 0a

Meeting adjourned

Topic revision: r1 - 2011-08-10 - 19:29:01 - ErikSeligman
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback