Minutes from SV-AC Committee Meeting

Date: 2010-08-03

Time: 16:00 UTC (9:00 PDT)

Duration: 1.5 hours

Dial-in information:


Meeting ID: 38198

Phone Number(s):

1-888-813-5316 Toll Free within North America

Agenda:


- Reminder of IEEE patent policy.

See: http://standards.ieee.org/board/pat/pat-slideset.ppt

- Minutes approval

- Email ballot results

- New issues

3168: expression1 is not an argument to $past

- Issue resolution/discussion

2398: Surprising (to some users) interaction between deferred assertions

& short-circuiting

1763: The LRM does not define whether assertion control tasks affect

sequence methods and events

1853: BNF for calls to $rose and other sample value system functions.

2485: terminology related to immediate and deferred assertions.

2558: Restriction inside checker construct

2452: No vacuity information about synchronous aborts

2904: Clarify when disable iff condition must occur relative to starting

and ending of an attempt

3134: sequence and property range parameters are erroneously defined

3135: Verbal explanation of nexttime and always is misleading for

multiple clocks

2353: 'classes' missing from description

1678: Clarify that rewriting algorithm doesn't replace name resolution

2571: confusing assertion clock inference rule

2386: Rename 16.9 to "Local variables"?

- Enhancement progress update

2328: Review and relax restrictions on data types in assertions

2412: Allow clock inference in sequences

2093: Checker construct (Mantis 1900) should permit output arguments

- Opens

Attendance Record:


Legend:

x = attended

- = missed

r = represented

. = not yet a member

v = valid voter (2 out of last 3 or 3/4 overall)

n = not a valid voter

t = chair eligible to vote only to make or break a tie

Attendance re-initialized on 2010-07-06:

n[--xxx] Laurence Bisht (Intel)

v[xxxx-] Eduard Cerny (Synopsys)

v[xxxxx] Ben Cohen

v[xxxxx] Surrendra Dudani (Synopsys)

v[-xxxx] Dana Fisman (Synopsys)

v[xxxxx] John Havlicek (Freescale)

v[xxxxx] Tapan Kapoor (Cadence)

t[xxxxx] Dmitry Korchemny (Intel ¿ Chair)

v[xxxxx] Scott Little (Freescale)

v[xxxxx] Manisha Kulshrestha (Mentor Graphics)

v[xxxxx] Anupam Prabhakar (Mentor Graphics)

v[x-xxx] Erik Seligman (Intel)

v[xxxx.] Samik Sengupta (Synopsys)

v[x-xxx] Tom Thatcher (Oracle ¿ Co-Chair)

|- attendance on 2010-08-03

|--- voting eligibility on 2010-08-03

Minutes:


IEEE Patent Policy: Attendees were reminded of the policy

Approval of minutes:

Ben: Move to approve minutes

Samik: Second

Voting Results: 11y, 0n, 0a

New issue: 3168 in $past

Scott: "expression" vs "expression1" typo

Dmitry: May have already been resolved in recent Mantis item: Will check.

Email Ballot Results:

Dmitry: 1756 and 2871 passed

2353 One negative vote: Ben:

Ben: Trying to understand what static variable means in a class

Anupam: Section 8.9 explains static class variables

Samik: Can we use static methods in a class?

Dmitry: Yes, I believe they can.

If function accesses automatic variable, is that legal?

Manisha: 16.6 Says that only automatic functions may be used within

assertions

Tom: Move to accept 2353

Anupam: Second

Voting results: 11y, 0n, 0a

Issue Resolution/Discussion

2938: Surprising (to some users) interaction between deferred assertions

& short-circuiting

Ed: This was normal behavior. Proposal seems to imply an exception

Erik: Ran into this problem on a real project. Deferred assertion did not

fire correctly because of this.

Scott: Some language needed to explain this

However, it seems to belong in a "gotchas" paper

John: Don't use sweeping statements that may have other exceptions.

Ed: Add as a regular example, without calling them exceptions.

Erik: Will add language to existing section to mention this.

Won't have separate section to discuss short circuiting.

2732: Future Value functions

Ed: Original proposal was just clarification of exising text.

Vote that one, then add another mantis Item

John: The new text in this proposal is already implied by the existing

language in the preceding paragraphs.

Tom: Move to accept proposal for 2732

John: Second

Voting Results: 11y, 0n, 0a

1763 The LRM does not define whether assertion control tasks affect

sequence methods and events

Ed: Thought that we had decided to close with no change needed

Erik: But there's no note on the Mantis item to suggest this

Last comment was dated 2007

Ed: Will review and post a comment.

1853: BNF for calls to $rose and other sample value system functions

John: Think section number is correct still.

Dmitry: Is this section of BNF quoted in other places of the standard

Surrendra will check.

Anupam: One example on p 35.

John: proposal may not cover $past, which has more arguments

Surrendra: Proposal needs work.

Enhancements:

2328: Data types in assertions

Scott: Has sent out a proposal

Scott: Removes restriction to integer types in assertions

Real inequality can stand as a boolean

i.e. 0.0 would be false, other values true

$time, $realtime: should they be sampled?

Ed: Variables of type time should not be sampled

John: Typically, assertions not aware of the time, so this may not matter.

System Functions

Erik: No progress

Checker output arguments

Dmitry: Before we add output args, need to resolve sampling of checker args.

Any objection to focusing on sampling?

What happens with free variables?

Free variables not sampled, but what if free variables connected to

output arg of checker, which is connected with input arg to another

checker. Is it sampled?

Ed Would this cause a problem if it were sampled?

John: Have you done the thought experiment?

Ed: Suppose we remove sampling of all checker args. Sampling is determined

after inlining.

Dmitry: Would be a good idea.

2412

Anupam: Proposal is mostly written. Have some issues.

Anupam: What about nesting of programs: Programs may appear within modules.

(can't nest within another program)

Ed: Default clocking is visible inside the nested module/program

Anupam: Next question: Legal to have another default clocking in nested

module if upper module already has default clocking?

John: Yes, Locally defined default clocking overrides enclosing default

clocking

Anupam: If I add default cloking within nested program, everything

should be clear.

Ed: Actually, it's clear.

Anupam: I can add another default clocking.

Surrendra: Should we send this to other committees for review?

Meeting adjourned.

Topic revision: r1 - 2010-08-10 - 16:44:43 - ErikSeligman
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback