Date: 2010-06-08

Time: 16:00 UTC (9:00 PDT)

Duration: 1.5 hours

Dial-in information:


Meeting ID: 38198

Phone Number(s):

1-888-813-5316 Toll Free within North America

Agenda:


- Reminder of IEEE patent policy.

See: http://standards.ieee.org/board/pat/pat-slideset.ppt

- Minutes approval

- Highest priority enhancements

We need to identify the highest priority enhancements from our list to

request permission from the WG to start working on these items. These

items need not be necessarily the first one in the list.

- Issue resolution/discussion

2804: Need to clarify rule (b) in 16.15.6 to allow inferred clock when

expression appears in procedural assertion

2955: Checker example is wrong

3113: Add port_identifier to constant_primary BNF for sequences,

properties and checkers

2732: Clarify timing diagram in Figure 16-4?Future value change

1933: 16.13.6 reference to triggered method can be improved

2291: the description of $assertoff blurs assertions and attempts

2330: Clarify that number_of_ticks argument to $past must be

compile-time constant

2362: 16.14 mention of assertion control system tasks is unconnected

2825: 16.16 Disable iff: checkers not included in list of default extensions

2754: P1800-2009 : Can clock change in conditional branch of 'if' operator

2927: Precedence between sequence/property operator and normal

expression operator

2452: No vacuity information about synchronous aborts

2557: Rules for passing automatic variables to sequence subroutines are

not clear

2556: Explicit package scope indication is not allowed for checkers

2476: Need clarification about system functions $onehot, etc

1763: The LRM does not define whether assertion control tasks affect

sequence methods and events

2485: terminology related to immediate and deferred assertions

1756: The LRM does not indicate how the control tasks $asserton/off/kill

affect verification statements in initial blocks

2809: Checker instantiation in checkers' always procedure

2938: Surprising (to some users) interaction between deferred assertions

& short-circuiting

2353: 'classes' missing from description

- Opens

Attendance Record:


Legend:

x = attended

- = missed

r = represented

. = not yet a member

v = valid voter (2 out of last 3 or 3/4 overall)

n = not a valid voter

t = chair eligible to vote only to make or break a tie

Attendance re-initialized on 2010-04-13:

v[xxxxxxx] Laurence Bisht (Intel)

v[xxxxxxx] Eduard Cerny (Synopsys)

v[xx-xxxx] Ben Cohen

v[xx-xx-x] Surrendra Dudani (Synopsys)

v[x-xxxxx] Dana Fisman (Synopsys)

v[--xxxxx] John Havlicek (Freescale)

v[xxxxxxx] Tapan Kapoor (Cadence)

t[xxxxxxx] Dmitry Korchemny (Intel ¿ Chair)

v[xxxxxx.] Scott Little (Freescale)

v[xxxxxxx] Manisha Kulshrestha (Mentor Graphics)

v[xxxxx..] Anupam Prabhakar (Mentor Graphics)

v[-xx-xxx] Erik Seligman (Intel)

v[x-xxxxx] Tom Thatcher (Sun Microsystems ¿ Co-Chair)

|- attendance on 2010-06-08

|--- voting eligibility on 2010-06-08

Minutes:


1. Minutes from last meeting:

Scott: move to approve minutes

Second Dana.

Vote results: 11 yes, 0 opposed, 0 abstain

2. High priority items: Working group may give us premission to

work on a few high priority items while the PAR is being approved.

Dmitry: Would like to work on complicated issues to get a head start on

them.

Scott: AMS: If we work on this, we should ask to work only on data type

extension.

Dmitry: Interested in checker usability:

Output arguments (2093)

Interface arguments (2751)

Ben: In favor of interfaces. Okay with output arguments as long as they

don't iterfere with DUT.

Ed: What about using assumes to control inputs?

Ben: Interfaces are things that most users would need.

Dmitry: Suggests requestiong a generic "enhance checker arguments"

to encompass multiple mantis items.

Ben: Interfaces have outputs, so interface proposal would be dependent

on checker output

3. Issue resolution:

Dmitry: Would like to assign owners to Mantis items that don't have owners

a. 2804

Dmitry: Eric is not here

Manisha: Item b says "only one event control", but item 2 says,

"other than as an event control" This is contradictory

Dmitry: Compare BNF of "event control" with BNF of "clocking event"

"clocking_event" is more restrictive.

Manisha: will change the proposal, substitute "clocking event" for

"event control"

b. 2955

Tapan: Had updated the proposal

Dmitry Long comment should be in text, not in example

Ed: Compile time? or elaboration-time?

Tapan: How do we define "compile-time"

Ed: Should be elaboration time

Dmitry: Agree

Dmitry: Will vote next time.

Dmitry: Also need to fix fonts in the proposal. Looks like Arial font used

instead of New Times Roman

Tapan: Phrase "compile-time" also used elsewhere. e.g. p. 869

Dmitry: Still suggests "elaboration-time"

c. 3113

Lawrence: Have uploaded the proposal, but no comments on it.

Simply added a footnote to the BNF.

Ed: Need to make the change in multiple places in the document

Tom: The proposal is made more compact by showing the change, and listing

all sections where that change occurs.

Ed: But all items of the change don't appear in all sections

Lawrence: Will copy the change for each section.

Ed: Is "rewriting algorithm" precise enough?

Lawrence: Will make a separate comment for sequence & property

Dmitry: What about Let.

Lawrence: Will check on it.

Dmitry: Footnote 24 is already in use.

Add note to editor to use the next free footnote number.

Dmitry: "local" should be in courier_new 9point.

d. Back to 2804

Manisha: Uploaded a corrected proposal

Ed: move to accept this proposal

Tapan second

Vote results: 11 yes, 0 no, 0 abstain

e. 2291

Scott: Deleted text should be red strike-out

Also the word "specified" appears in blue, but it is not added

Ben: Will fix it.

f. Back to 2955

Dmitry: Fonts still not right.

Dmitry: Will call for an e-mail vote.

Tom: Next week is Design Automation Conference

Will not be here

Dmitry OK ,we can skip next meeting

Next meeting in two weeks.

Topic revision: r1 - 2010-06-25 - 17:57:08 - ErikSeligman
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback