Recent Changes in P1076 Web retrieved at 00:53 (GMT)

P1076 Proposals and Requirements for VHDL 202x This page contains the backlog from 1076 2019. Do NOT create new items/entries in these tables. All new proposals...
IEEE P1076 Working Group VHDL Analysis and Standardization Group (VASG) Mission: VASG is responsible for maintaining and extending the VHDL standard (IEEE...
This page contains the meeting information until April 2021.Do NOT create or modify the content in this page. Meetings are now coordinated and tracked through and...
P1076 Minutes from April 15, 2021 Attendees Jim Lewis, Peter Flake, Pablo Blecua, Unai Martinez Corral Meeting Discussion We discussed the reorganisation...
P1076 Minutes from February 4, 2021 Attendees Peter Flake, Unai Martinez Corral, Jim Lewis Meeting Discussion DPI Will not initially support calling...
P1076 Minutes from May 14, 2020 Attendees Jim Lewis, Rob Gaddi, Peter Flake, Pablo Blecua Meeting Discussion Next Revision Ideas from Email...
P1076 Minutes from May 13, 2021 Attendees Not held yet The usual suspects Jim Lewis, Peter Flake, Pablo Blecua, Patrick Lehmann, Marlon James, Unai...
P1076 Minutes from April 29, 2021 Attendees Jim Lewis, Peter Flake, Pablo Blecua, Patrick Lehmann, Marlon James, Unai Martinez Corral Meeting Discussion...
This content was moved to IEEE P1076.gitlab.io/VHDL 202X/LanguageChangeWorkflow. Do NOT edit the content here. Language Change Work Flow Steps 1 Initial...
This content was moved to IEEE P1076.gitlab.io/VHDL 202X/DocumentationToolRequirements. Do NOT edit the content here. Documentation Tool Requirements Committee...
P1076 Minutes from April 1, 2021 Attendees Peter Flake, Unai Martinez Corral, Marlon James Meeting Discussion Peter shared vhdpi user h1.docx and we went...
P1076 Minutes from March 18, 2021 Attendees Peter Flake, Unai Martinez Corral, Jim Lewis Meeting Discussion We will upload the work in progress related...
VHDL 2019 Table of Content: Approved LCS Documents LCS Description Examples LCS 2016 001 Partially Connected Vectors on Port Map Example...
P1076 Minutes from March 4, 2021 Attendees Peter Flake, Unai Martinez Corral, Marlon James, Pablo, Jim Lewis Meeting Discussion VHPI The callback...
Direct Programming Interface Proposal Details Who Updates: PeterFlake Date Proposed: Date Last Updated:2021/3/4 Priority: Complexity:...
P1076 Minutes from January 7, 2021 Attendees Peter Flake, Unai Martinez Corral, Jim Lewis Meeting Discussion DPI Next Meeting January 21 at 11 am...
P1076 Minutes from January 28, 2021 Attendees Patrick Lehmann, Peter Flake, Unai Martinez Corral, Jim Lewis Meeting Discussion Discussion and clarifications...
P1076 Minutes from December 17, 2020 Attendees Peter Flake, Unai Martinez Corral, ... Meeting Discussion DPI Next Meeting January 7 at...
P1076 Minutes from January 21, 2021 Attendees ????? Peter Flake, Unai Martinez Corral, Jim Lewis Meeting Discussion DPI Next Meeting...
P1076 Minutes from December 3, 2020 Attendees Peter Flake, Unai Martinez Corral, Tristan Gingold, Jim Lewis Meeting Discussion DPI Registration...
P1076 Minutes from November 12, 2020 Attendees Jim Lewis, Peter Flake, Unai Martinez Corral, Patrick Lehmann, Peter Ladow, Paul Butler, Kevin Cameron Meeting...
P1076 Minutes from November 12, 2020 Attendees Jim Lewis, Peter Flake, Unai Martinez Corral, Patrick Lehmann, Peter Ladow, Paul Butler, Kevin Cameron Meeting...
Configure Direct Instantiation IN PROGRESS! Proposal Information Current Owner: RyanHinton Contributors: RyanHinton, JimLewis, AndyJones, DanielKho...
How to Add Someone to TWIKI First establish the person has a vested interest in VHDL (ie: user or tool developer) If you don`t know them and they don`t use...
P1076 Minutes from October 29, 2020 Attendees Jim Lewis, Peter Flake, Unai Martinez Corral, Patrick Lehmann, Peter Ladow, Paul Butler, Kevin Cameron Meeting...
Level Sensitive Wait Proposal Information Current Owner: JimLewis, ... Contributors: JimLewis, ... Date Proposed: 2014 June 22 Date Last Updated...
Mapping Expressions to Signal Parameters Proposal Information Current Owner: JimLewis, ... Contributors: JimLewis, ... Date Proposed: 2014 June...
P1076 Minutes from October 29, 2020 Attendees Jim Lewis, Peter Ladow, Peter Flake, Rob Gaddi, Unai Martinez Corral, Patrick Lehmann Meeting Discussion...
P1076 Minutes from October 1, 2020 Attendees Jim Lewis, Unai Martinez Corral, Peter Ladow, Peter Flake Meeting Discussion DPI Attribute EXPORT...
P1076 Minutes from September 10, 2020 Attendees Jim Lewis, Unai Martinez Corral, Peter Ladow, Peter Flake Meeting Discussion DPI Attribute EXPORT...
P1076 Minutes from August 27, 2020 Attendees Peter Flake, Unai Martinez Corral, Lieven, Jim Meeting Discussion DPI LaTex Issues...
P1076 Minutes from August 13, 2020 Attendees Rob Gaddi, Peter Flake, Patrick Lehmann, Unai Martinez Corral Meeting Discussion LRM Issues repository...
P1076 Minutes from July 30, 2020 Attendees Rob Gaddi, Peter Flake, Karl Eisenhofer, Unai Martinez Corral Meeting Discussion DPI Notes on Accelera...
P1076 Minutes from July 16, 2020 Attendees Jim Lewis, Peter Flake, Patrick Lehmann, Unai Martinez Corral, Lieven Meeting Discussion DPI Peter...
Map Functions Proposal Editing Information Who Updates: Date Proposed: Date Last Updated: Priority: Complexity: Focus: Testbench...
Record Types with Discriminates Proposal Details Authors Patrick Lehmann Date Proposed 2017 04 03...
Sequential Signal Declarations Proposal Editing Information Who Updates: Date Proposed: Date Last Updated: Priority: Complexity:...
P1076 Minutes from July 2, 2020 Attendees Jim Lewis, Rob Gaddi, Peter Flake, Patrick Lehmann, Pablo Blecua, Unai Martinez Corral Meeting Discussion Next...
API and Attributes for PSL Proposal Editing Information Who Updates: Date Proposed: 2020 June 4 Date Last Updated: Priority: Complexity...
P1076 Minutes from June 11, 2020 Attendees Jim Lewis, Rob Gaddi, Peter Flake, Patrick Lehmann, Karl E, Pablo Blecua Meeting Discussion AI Jim: Work...
Depricated: Protected Type Update Now separate proposals Proposal Information State of this Proposal: Raw / Stream of Conscious Current Owner: JimLewis...
VHDL 2019 revision LCS, Proposals, and Requirements Caution: Do not add new stuff here. The current revision is 202X. This page contains the Requirements, Proposals...
P1076 Minutes from May 28, 2020 Attendees Jim Lewis, Rob Gaddi, Peter Flake, Patrick Lehmann, Karl E, Pablo Blecua Meeting Discussion Standards Document...
P1076 Minutes from April 30, 2020 Attendees Jim Lewis, Patrick Lehmann, Rob Gaddi, Peter Flake, Karl Eisenhofer, Pablo Blecua Meeting Discussion Review of...
P1076 Minutes from April 9, 2020 Attendees Jim Lewis, Patrick Lehmann, Rob Gaddi, Peter Flake, Karl Eisenhofer, Pablo Blecua, Peter LaDow Meeting Discussion...
Proposal Summary Link to Proposals Status Values: RAW Initial development, may change frequencly, comments welcome RFC Draft, needs comments REVIEW...
P1076 Minutes from March 19, 2020 Attendees Jim Lewis, Patrick Lehmann, Rob Gaddi, Peter Flake, Karl Eisenhofer, Pablo Blecua, Peter LaDow Meeting Discussion...
P1076 Minutes from February 20, 2020 Attendees Jim Lewis, Patrick Lehmann, Peter Flake, Ryan Hinton, Rob Gaddi, Ken Campbell, Peter LaDow Meeting Discussion...
P1076 Minutes from March 5, 2020 Attendees Jim Lewis, Patrick Lehmann, Peter Flake, Ryan Hinton, Peter LaDow Meeting Discussion Previous meeting minutes reviewed...
P1076 Minutes from April 2, 2020 Attendees TBD Agenda Review any additional items on the collected requirement page Review proposals for forwarding IEEE...

«Previous   1  2  3  4  5  6  7  8  9  10  11  12  13  14   Next»

Show 10, 20, 50, 100, 500, 1000 results per page, or show all.

Related topics: RSS feed, rounded corners RSS feed, ATOM feed, WebNotify, site changes, site map

Topic revision: r1 - 2006-11-15 - 19:43:52 - TWikiContributor
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback