-- JimLewis - 2011-03-16

P1076 March 17, 2011 Meeting Minutes

Attendees:

Agenda/Discussion:

Review IEEE patent policy (please read before meeting)

Approve March 3 meeting minutes: Motion: Jerry 2nd: John

Continue discussion of language change requirements.

Package of RTL Hardware Primitives / RTL Building Blocks (Mux, Decoders, FF ...)

More expressive and/or concise way of coding flip flops, Multiplexors, decoders, ...

David Bishop

  • Operator Perhaps to involve clock, reset, enable, reset value, clock edge.

A <= B @ 2 ; -- Clock is implicit - default clocks and reset

Jim Lewis

  • Use subprograms see posts around Feb 18th time
  • Enhance subprograms with defaults similar to generics
  • Need a library of parts (mux, ...)

  • Alternately the following assignment does a simple FF, however some vendors may not support it

A <= B when rising_edge(Clk) ;

David Koontz

  • Also likes library of gate like parts - gives much similarity to gate parts

David Bishop

  • How do we drive vendor uptake on items like this?

OOP/AOP and Interfaces

Jim Lewis

  • Although I did the previous interface proposal, I think a good implementation of interfaces is to incorporate them into a class.
  • Then allow shared variables to be an interface object on entities as elaborated in the OO proposal

David Koontz

  • Already a class like mechanism in entities and components

What is a Class

  • Container + Methods + internal state (private objects), has polymorphism and dynamic dispatch.
  • It may or may not public objects

John Shields - believes that sharing object classes across languages will be difficult and fraught with trouble

Martin Thompson - need generic programming - and nn need OO - for testbenches

  • AI post links to generic programming topics

Need examples of things that need OO - that illustrate perhaps the advantages of OO over generics on protected types.

Next Meeting (proposed):

Thursday March 31 at 8 am


This topic: P1076 > WebHome > Vhdl2019MeetingMinutes > MeetingMarch17
Topic revision: r8 - 2020-02-17 - 15:36:21 - JimLewis
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback