TWiki> P1076 Web>VHDL2017>LCS2016_055a (revision 6)EditAttach

Language Change Specification for Syntax regularization for endings

Proposal

LCS Number: LCS-2016-055a
Version: 1
Date: 05-March-2017
Status: Voting
Author: Ryan Hinton
Jim Lewis
Email: Main.RyanHinton
Main.JimLewis
Source Doc: Syntax regularization - end
History Doc: History
Summary: Make syntax for component declatations more similar to entity statements
Derivative of 055 LCS_2016_055

Details of Language Change

Changes are shown in red font. Deleted text is crossed out. Editing comments are in green.

6.8 Component declarations page 93 and Annex C page 481

 component_declaration ::= [§ 6.8]
    component identifier [ is ]
        [ local_generic_clause ]
        [ local_port_clause ]
    end component [ component ]  [ component_simple_name ] ;
Edit | Attach | Print version | History: r10 | r8 < r7 < r6 < r5 | Backlinks | Raw View | Raw edit | More topic actions...
Topic revision: r1 - 2017-07-19 - 10:12:18 - TWikiGuest
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback