P1076 Minutes from April 30, 2020

Attendees

Jim Lewis, Patrick Lehmann, Rob Gaddi, Peter Flake, Karl Eisenhofer, Pablo Blecua

Meeting Discussion

  • Review of 2019 LCS / proposals finished last meeting
  • Need update of 2020 LCS / Proposal Document
  • Get people to make lists?
  • Next revision
    • Rob Space Ship
    • Patrick - New document format
    • Patrick - Make 1076 Open Source Document? Still a Balloted Standard. Published on Git
    • Pablo - coding examples
    • VITAL?
    • Peter Flake - DPI
    • Jim - DPI, Integers, Space Ship
    • Patrick - configuration of architecture of direct entity instances -
    • Default component - predefined attribute that creates a component for an entity.
      • Could a great alternative to fixing configuring direct entity instances
    • Patrick - copying constraints from on record to another input'range - with arrays
      • records and arrays - copying constraints from a record
    • Pablo: Wait on slv(i) ; -- y <= slv(i) ; ... wait on y ;
      • what is the equivalent
      • slv(i) - even if i changes externally, it does not change wrt the wait
      • slv(i) - limit i to process local
      • slv(i) - if a change in i results in the value changing, then the wait on wakes up
      • slv(i) - if slv of the initial i changes or i changes then wake up - wait on i, slv(i)*1 nn because it can be composed. * k := i ; v_prev_value := slv(k); wait on slv until slv(k) /= v_prev_value ; wait on i, slv until slv(k) /= v_prev_value or i'event;
    • Derived everything
      • derived integers - new
      • derived enumerations - tagged enumerations
      • derived records - tagged records
      • derived protected types - extend a fifo to a scoreboard.
      • derived entity - see old (1999 ish) OO proposals
    • Abstract packages
      • defines a generic type and defines abstract operations for that type
      • Goal of being able to use numerics from integer, real, numeric_std, fixed_point.

Next Meeting

Thursday May 14, 2020, 11 am Pacific Daylight Time (GMT-7)

Tenative Agenda:

  • Do Stuff

Comments


This topic: P1076 > WebHome > Vhdl202X_MeetingMinutes > 2020_0430_Meeting
Topic revision: r1 - 2020-04-30 - 20:10:42 - JimLewis
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback