P1076 September 29, 2016 Meeting Minutes

Attendees:

  • Brent Hayhoe, Lieven Lemiengre, Rob Gaddi, Peter Flake, Jim Lewis, Jing Pang,

Agenda:

Meeting Discussion

  • Interfaces - continue.
  • AI: Rob post AXI/AXI Lite example to GitLab.
  • SPI example?? Translate to using map functions. Any volunteers?
  • AI: Brent? Brents example master/slave needs to be updated to Lieven's current approach
  • Are interface entities that get constructed via a builder any different than a potentially complex language solution

Review and Approve Meeting Minutes and Decisions by Attendees

Lieven, Peter

Review and Approve Meeting Minutes and Decisions by non-attendees

Agree: -- KevinJennings - 2016-09-30

Agree: -- PatrickLehmann - 2016-10-05

TBD

Next Meeting: Thursday October 6, 2016, 11 am Pacific

Previous Meeting: Wednesday September 22, 2016

Edit | Attach | Print version | History: r5 < r4 < r3 < r2 < r1 | Backlinks | Raw View | Raw edit | More topic actions...
Topic revision: r1 - 2020-02-17 - 15:36:18 - TWikiGuest
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback