P1076 October 15, 2015 Meeting Minutes

Attendees:

  • Brent Hayhoe, Jim Lewis, Ernst Christen, Lieven Lemiengre, Rob Gaddi, Peter Flake, Jing Pang

Agenda:

Meeting Discussion

  • Interfaces Review
  • Ernst Issues: Composite signals: with everything visible everywhere?
    • Concerned with how a record type implementation of the interface/bundle concepts map to current language semantics
  • Ernst: AI to upload examples
  • Lieven: Work on clarifying issues with composite/record type issues

Review and Approve Meeting Minutes:

  • NA

Next Meeting: Thursday October 22, 11 am Pacific

Previous Meeting: Thursday October 1, 2015

Edit | Attach | Print version | History: r3 < r2 < r1 | Backlinks | Raw View | Raw edit | More topic actions...
Topic revision: r1 - 2020-02-17 - 15:36:15 - TWikiGuest
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback