P1076 September 26, 2013 Meeting Minutes

Attendees:

Agenda:

ISAC IR Review

  • Cliff W to research IR 2054, IR 2063, IR 2110
  • IR2065 - AI Ryan Initial Proposal
  • IR2067 - Interfaces - cross reference interface proposals
  • IR2102 - move to done
  • IR2103 - add proposal and report as seeking use model input and can't go forward without it
  • IR2112 - If VHDL-2008 does not facilitate this already, we need to.
    • Did VHDL-2008 make the architecture declarative region contiguous with the entity, and hence, enable this?
    • AI Cliff

New Proposals

  • Ryan: wants a declarative region between the generic and port clause for constants and subtypes
  • Cliff to look into whether port clauses are ordered, such that we can do something like:
  data_real : in sfixed;
  data_imag : in data_real'subtype;

Review and Approve Meeting Minutes:

  • Motion: Ryan 2nd:Cliff

Next Meeting Date (proposed):

Thursday October 10, 8 am Pacific

Edit | Attach | Print version | History: r6 < r5 < r4 < r3 < r2 | Backlinks | Raw View | Raw edit | More topic actions...
Topic revision: r1 - 2020-02-17 - 15:36:13 - TWikiGuest
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback