P1076 March 15, 2012 Meeting Minutes

Attendees:

Agenda:

Clock Discussion on Reflector

  • Daniel Kho brought up SVA assertions on the reflector and was pointed towards PSL which has the same capability in that area

Encryption

  • Jerry posted a summary of encryption items to be updated in VHDL. See Collected Requirements list

Math

  • David working on calculator math packages

ISAC Issues

  • Resolved IR List -
    • Ryan to look at list and mark forwarded ones as implemented or todo
    • Jim to move list to twiki page to make

Next Meeting Date (proposed):

Thursday April 5, 8 am Pacific

Edit | Attach | Print version | History: r6 < r5 < r4 < r3 < r2 | Backlinks | Raw View | Raw edit | More topic actions...
Topic revision: r1 - 2020-02-17 - 15:36:12 - TWikiGuest
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback