Date: 2016-09-21

Time: 16.00:00 UTC (9:00 PDT)

Duration: 1 hour

Agenda

Attendance Record

Legend:

x = attended

- = missed

r = represented

. = not yet a member

v = valid voter (2 out of last 3 or 3/4 overall)

n = not a valid voter

t = chair eligible to vote only to make or break a tie

Attendance re-initialized on 2016-03-09:

v[.x-xxxxx---xx] Mehbub Ali (Intel)

n[.xx--x------x] Ang Boon Chong (Intel)

n[x-x-xx-x-x--x] Shalom Bresticker (Accellera)

n[.x-----------] Dennis Brophy (Mentor Graphics)

v[xxxxxxxxxxxxx] Eduard Cerny (Synopsys)

v[xx-xx-xxxxxxx] Ben Cohen (Accellera)

t[x-xxxxxx-xxxx] Dmitry Korchemny (Synopsys - Chair)

n[xxx-x-x------] Manisha Kulshrestha (Mentor Graphics)

v[xxxxxxxx-xx-x] Anupam Prabhakar (Mentor Graphics)

v[xxxxxxxxxxxx-] Erik Seligman (Intel – Co-chair)

v[x-x-xxxx-xx-x] Samik Sengupta (Synopsys)

|- attendance on 2016-09-21

|--- voting eligibility on 2016-09-21

Minutes

IEEE patent policy reminder

Minutes approval

Erik: Move to approve the minutes from 7-Sep-2016.

Ed: Second.

Motion passed: 5y/0n/0a.

Email ballot results

Issue 2947 – Passed (no change required).

Issue 3610 – Passed, but there was a change made in the middle of the ballot.

Issue 4724 – Passed

Ben: Move to approve 3610.

Ed: Second

Motion passed: 5y/0n/0a.

Mantis items in progress

2842: Randomization of free variables in deferred assertions

Anupam: Natural randomization points are the points of change of free variables

Dmitry: If so, if an assumption contains only free variables, its variables will never be randomized.

Ed: Agree with Dmitry.

Anupam: How is it different from concurrent assertions at the initialization?

Ed: Maybe to require a global clocking in this case?

Anupam: Items 2555 and 3614 are ready to vote.

Topic revision: r1 - 2016-10-05 - 15:59:39 - ErikSeligman
 
Copyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback