Minutes of SV-AC Meeting

Date: 2011-27-06

Time: 16:00 UTC (9:00 PDT)

Duration: 2 hours

Dial-in information:


Meeting ID: 38198

Phone Number(s):

1-888-813-5316 Toll Free within North America

Live Meeting:

https://webjoin.intel.com/?passcode=4457962

Agenda:


- Reminder of IEEE patent policy.

See: http://standards.ieee.org/board/pat/pat-slideset.ppt

- Minutes approval

- Email ballot results:

Issue 3564 failed: 7y/2n/0a.

- New issues

- Champions' feedback

- Issue resolution/discussion

3145: Need to clearly define "maximal property"

- Opens

Attendance Record:


Legend:

x = attended

- = missed

r = represented

. = not yet a member

v = valid voter (2 out of last 3 or 3/4 overall)

n = not a valid voter

t = chair eligible to vote only to make or break a tie

Attendance re-initialized on 2010-07-06:

v[x-xxxxx-xxx--xxx---xxxx-xxx-xxx...........................] Ashok Bhatt (Cadence)

n[------xx--xxx-xxxxxx-xxxxxxxxxx-xxx-xxxxxxxxx-x-xxxxx--xxx]

Laurence Bisht (Intel)

v[xx-xxxxxxxxxxxxxxxxx-xxxxxxxxx-xxxxxxxxxxxx-xxxxxxxxxxxxx-] Eduard Cerny (Synopsys)

v[xxxxxx-xxxxxxxxxxx--------xx---xxx--x-xxxxxxx-xxxxx-xxxxxx] Ben Cohen (Accellera)

n[---------------------------------------xx-x-xxx-x--xxxxxxx]

Surrendra Dudani (Synopsys)

n[----------x-xxxxxx........................................] Shaun Feng (Freescale)

v[xxx---------x-x--x-x-xxxx-x-x----x-x-x--xx---xxxx---x-xxxx] Dana Fisman (Synopsys)

n[-------------------------------------xxxxx-xxxx-x-xxxxxxxx] John Havlicek (Freescale)

v[xxxxxxxx---x-xxx-xx-xxxx-xxxxxxxxxxxxxxxx-xxx-xxxxxxxxxxxx] Tapan Kapoor (Cadence)

v[xx-xxx---x-xx-xxxxx-xxxx-x-x..............................] Jacob Katz (Intel)

t[xx-xxxxxxxxx--xxx-xxxxxxxxxxxxxxxxxxxxxxx--xxxxxxxxxxxxxxx] Dmitry Korchemny (Intel ¿ Chair)

v[xx-xxxxxxxxxxx-xxxxx-xxxx-xxxxxxxxxxxxxxx--xxxxxx-xxxxxxxx] Scott Little (Freescale)

v[xxxxx-xxxxxxxxxxxxxxxx-xxxxxxxxxxxxxxxxx-xxxxxxxxx-xxxxxxx] Manisha Kulshrestha (Mentor Graphics)

v[x-xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx-xxxxxxxxxxxxxxxxxxx] Anupam Prabhakar (Mentor Graphics)

v[xxxx-xxxxxxxxx--xxx-xxxx-xxx-xxx--x-xx-xxx-xx--xxxxxxx-xxx] Erik Seligman (Intel)

v[xxx-xxxxx-xxxx-xxxxxxxxxx-x-xxx-xxxx-xxxx--xxxxxx-xxxxxxx.] Samik Sengupta (Synopsys)

v[xxxxxxxx-xxxxxxxxxxxxx-xxxxxxxxxxxxxxxxx-xxxxxxxxxxxxx-xxx] Tom Thatcher (Oracle ¿ Co-Chair)

n[----------------------xx---xx-------x.....................] Srini Venkataramanan (CVC Pvt Ltd)

n[------------x.............................................]

Vibarajan Viswanathan (Marvell)

|- attendance on 2011-09-27

|--- voting eligibility on 2011-09-27

Minutes


- Reminder of IEEE patent policy.

See: http://standards.ieee.org/board/pat/pat-slideset.ppt

Participants were reminded of the IEEE patent policy.

- Minutes approval

Ben: Move to approve minutes of last meeting

Erik: Second

Vote Results: 12y, 0n, 0a

- Email ballot results:

Issue 3564 failed: 7y/2n/0a.

Erik: If variables in concurrent assertion don't wake up the always_comb,

then there could be false positives where assertion doesn't fire

because it is never evaluated.

Tom: But what about a temporal assertion like a ##1 b? Sould a change

in b wake up the always_comb

Tom: We have a consistent semantic now. It's just a little surprising

for inexperienced users.

Anupam: We should keep the current semantic.

Examples posted by Dmitry:

always_comb begin

bit dummy_en = '0;

a = ,,,;

if (a) begin // a non-sampled

bit dummy_eb = '1;

assert property (@(posedge clk) b); // b sampled

assert property (@(posdege clk) (non-sampled) dummy_eb |-> ....

^^^^^^^^^^^^^^^^^^^^^^

Is this correct????

(Shouldn't it be "(non-sampled) a"?)

always @(posedge clk1 or posedge clk2) begin

a <= ,,,;

if (a) begin // a non-sampled

assert property (@(posedge clk2) b); // b sampled

In the first case, the assertion might be executed many fewer times

than expected, because a new evaluation would be scheduled only when

a variable changes that would trigger the always block.

In the second example, the assertion might be evaluated more times

than expected, because a new evaluation would be scheduled at both

clk1 and clk2 clocking events.

Dmitry: We should just not mention concurrent assertions in this proposal

We'll have to fix this in the next PAR.

Ben: Should add an assertion label to the immediate assertion.

Ed: What about variable a in assertion? Variable a is read by

the assertion, but it is also assigned.

Manisha: Sec 9.2.2.2.1 specifically excludes variables being assigned

within the block from contributing to the sensitivity list.

Ed: But a is also being read by the assertion. Would that also put

it in the sensitivity list?

Anupam: Will work on fixes. Vote on this later in the meeting.

- New issues

- Champions' feedback

- Issue resolution/discussion

3145: Need to clearly define "maximal property"

Scott: It would be better to define the term before the use.

Ed: If you tried to do that, it would require more changes

As it is, it is a very local change.

Scott: That should be fine.

Manisha: Could it be done as a footnote?

Scott: Or could you add definition after the first use.

Ed: With a footnote, you can refer to it from multiple places.

Scott: Does it belong in the glossary?

Erik: Will try to quickly modify proposal so that it is a footnote.

Back to 3564: Anupam has made changes

Erik: Move to approve proposal for 3564

Ed: Second

Vote Results: 12y, 0n, 0a

Back to 3145: Erik has made changes

Erik: Move to approve proposal for 3145

Tom: Second

vote results: 12y, 0n, 0a

Dmitry: This is our final proposal for this PAR. Will schedule meeting

when we have Champions feedback.

Ed: Will still have the conference call scheduled.

Dmitry: Will send out meeting notice when we need to schedule a meeting.

Anupam: Mantis 2412. Mantis item was approved by Champions, but Scott had

a correction. Suggested to fix it in editing state.

Dmitry: No action required now.

- Opens

Meeting adjourned.

Topic revision: r1 - 2011-09-27 - 20:44:54 - ErikSeligman
 
Copyright © 2008-2025 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback